白桦树

积分:879
上传文件:21
下载次数:705
注册日期:2008-01-15 17:17:09

上传列表
matlabprogram.rar - 智能优化算法及其MATLAB仿真实例,包括进化类算法,群智能算法,模拟退火算法,禁忌搜索算法,神经网络算法等程序源码,2020-01-18 16:43:40,下载7次
电力电子授课实验matlab.rar - 电力电子技术课程中的几个重要的matlab仿真程序,包括:单相全桥整流电路,三相全桥整流电路,十二脉波整流电路,PWM逆变电路等。对于学习电力电子技术课程十分有帮助。,2018-10-18 11:28:24,下载29次
VisualCsharpchengxuyukejian.rar - “VisualC#程序设计基础”书中源码与电子课件,非常通俗易懂。,2011-12-21 07:35:15,下载4次
vcPPImageshibie.rar - VC++数字图像处理与模式识别,学习源代码。,2011-06-11 07:39:18,下载19次
21tianqianrushi.rar - 21天学通嵌入式开发,很好的课件,主要讲ARM芯片。,2011-06-11 07:31:57,下载41次
dianzishu.rar - VC++学习的好资料,各种电子书:VC++2005.pdf、龚建军串口通讯电子书(清晰版).pdf、走进VC++.doc、C++程序设计语言经典题与实验指导.pdf、高质量C++C编程指南.doc、VC若干小技巧.doc等。,2010-08-01 10:13:20,下载14次
SocketJianKong.rar - 通过套接字实现远程控制计算机。实现的功能包括:实现服务器和客户端远程聊天。通过客户端控制服务器,可以使服务器注销、重启及关机。源码中有详细的注解。声明 不要使用本程序干坏事。,2010-05-31 17:42:56,下载16次
ZigBee2006xieyizhan.rar - ZigBee2006协议栈使用指南,包括认识协议栈,建立自己的项目,接收数据,发送数据的介绍。,2009-07-12 18:35:50,下载13次
TSZ-CC2430yuanlitu.rar - TSZ-CC2430开发工具原理图及自己开发硬件时用到的相关文档。,2009-02-27 23:40:28,下载87次
cc2430-stack-chinese.rar - ZigBee协议中关于应用层和网络层的内容,是中文版的。对ZigBee深层的学习很有帮助。,2009-02-27 23:33:30,下载224次
chengxu.rar - C++应用教程源码,教程的作者是胡也。可以在visual studio.net下调试。,2008-07-31 11:56:17,下载4次
C++jiaochengPPT.rar - 《C++程序设计教程》课件,教程的作者是石志国。,2008-07-31 11:50:54,下载5次
gfkdshuxue.rar - 国防科大数学建模讲义,对于喜欢数学的人非常有用。利用数学模型解决实际问题。,2008-07-31 11:48:16,下载30次
shuxuejianmo.rar - 清华大学数学建模讲义,对于喜欢数学的人非常有用。利用数学模型解决实际问题。,2008-07-31 11:45:56,下载28次
S7-200yanshichengxu.rar - 可编程控制器S7-200多个演示程序,希望对初学者有帮助。,2008-02-19 00:00:55,下载67次
VB6.0yingyongliti.rar - VB6.0应用例题,对初学者非常有用。保证一天学会VB6.0.,2008-02-14 23:23:41,下载4次
ForCodeComposerStudio.rar - TI公司的DSPTMS320F2812初学者入门应用程序,看过后保证收获不浅!,2008-01-21 21:55:02,下载34次
cDSPsource.rar - 经典的dsp的c程序和汇编程序库常用例题,对初学者很有用,2008-01-21 21:47:38,下载16次
DSPTMS320F2812.rar - 非常好的DSP TMS320F2812系统硬件原理图,2008-01-21 21:39:43,下载126次
tcpipC.rar - 8051 Web Server project See Makefile for build notes Written for Keil C51 V5.1 compiler, notes: It uses big endian order, which is the same as the network byte order, unlike x86 systems. Use OPTIMIZE(2)or higher so that automatic variables get shared // between functions, to stay within the 256 bytes idata space,2008-01-15 17:33:43,下载51次
学习单片机的八大步骤 .rar - 学习使用单片机就是理解单片机硬件结构,在汇编或C语言中学会各种功能的初始化设置,以及实现各种功能的程序编制。 ,2008-01-15 17:26:13,下载3次

近期下载
shuzixinhao.zip - 数字信号处理课程设计 利用MATLAB对声音信号进行处理
DSP_Matlab_examples.rar - 数字信号处理书籍的matlab程序,内含许多数字信号处理内容,值得下载
_应用MATLAB语言处理数字信号与数字图像.rar - 应用MATLAB语言处理数字信号与数字图像
DTC_SVM.rar - 基于空间电压矢量的永磁同步电机直接转矩控制的MATLAB\simulink,仿真结果良好
PMSM_FOC.rar - 永磁同步电机的矢量控制系统仿真,采用id=0的控制策略。在此基础上加入位置环,实现永磁同步电机的伺服系统
FINAL_PMSM.rar - PI Control of PMSM motor..
Spyder.rar - 使用Python 的爬虫程序,可以抓取网站的所有图片
artificial_intelligence_demo_programs.rar - 光盘中的演示实例是人工智能原理和方法的演示程序.包括基于归结原理的推理系统,合一算法,等式归结,归类算法,野人与传教士过河问题,八数码问题,八皇后问题,一字棋,博弈算法,遗传算法和蚁群算法等的演示。
简单动物识别系统的知识表示与推理Python.zip - 简单动物识别系统的知识表示与推理 (1)以动物识别系统的产生式规则为例 (2)建造规则库和综合数据库,并能对他们进行增加、删除和修改操作 (3)基于建立的规则库和综合数据库,进行推理
NSGA-III.rar - NSGA-ii改进算法,即NSGA-III的MATLAB实现
MOEA-NSGA-II.rar - NSGA-II多目标优化的matlab代码
xiaohuangji-master.zip - 人人网小黄鸡,用python实现。利用simi提供的API,在里面用到人工智能的技术,易操作。
40节点预测.rar - 利用人工智能RNN进行数据预测分析,效果还可以
MachineLearning_Ng-master.zip - 吴恩达机器学习代码,带大家走向人工智能!
AI.rar - 人工智能project(packman)
哈工大_人工智能.zip - 哈工大计算机系任老师人工智能课程的代码实现。全部三个实验。
knn.zip - 人工智能导论课作业,水杯图片的分类,knn方法实现
卷积神经网络CNN进行图像分类.zip - 基于卷积神经网络的图像识别,应用于MATLAB
俩个卷积神经网络代码.zip - 卷积神经网络代码,用MATLAB语言编写,可在maltab平台中运行。
Convolutional-Neural-Network-master.rar - 基于MATLAB实现的卷积神经网络例子,包括详细的解释。

收藏