lei_kun

积分:262
上传文件:3
下载次数:38
注册日期:2008-03-10 18:11:36

上传列表
chengxu.rar - 在maxplusII上用VHDL语言编程实现的数字基带信号的同步提取,是一个密码输入和修改的实例。在硬件实验箱上连线,并将程序下载到主芯片上完成。,2008-04-21 18:34:27,下载18次
qicheweideng.rar - VHDL语言编写一个汽车尾灯显示的程序,在maxplusII平台下运行,可以下载到芯片在实验箱上可以看到不同情况下汽车尾灯的不同显示,2008-04-18 21:59:16,下载36次
iircas4.rar - 四阶无限长冲激响应滤波器的DSP ccs环境下的实现,TI-C5509A,2008-03-10 18:28:33,下载56次

近期下载

收藏