dongchongcao

积分:313
上传文件:3
下载次数:37
注册日期:2008-05-06 10:13:21

上传列表
RFIDanticollisionalgorithmbasedonFPGAimplementatio - RFID防碰撞算法的FPGA实现,包括代码、仿真结果等,2010-03-15 14:32:58,下载258次
card.rar - CARD_SCH,有源标签的PCB和原理图,用于实现接受和发射功能,2009-03-04 09:12:53,下载11次
card220051206.rar - 射频识别利用nrf 2401芯片实现收发功能,代码为nrf2401驱动程序,2008-05-06 10:25:09,下载32次

近期下载

收藏