chenxu555

积分:462
上传文件:5
下载次数:88
注册日期:2008-12-01 19:14:54

上传列表
zhongpinyanboq.rar - 中频验波是对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右, 相位正交误差在2°左右,即幅相误差引入的镜像功率在- 34dB 左右。这限制了信号处理器性能的提高, 为此, 近年来提出了对低中频直接采样恢复I、Q 信号的数字相位检波器。随着高位、高速A/ D 的研制成功和普遍应用,使得数字相位检波方法的实现成为可能。 ,2008-12-02 19:58:59,下载43次
jienengyongshuikongzhixitong.rar - 节能用水控制系统由微控制器,红外发射接收电路,压力监测电路,手动冲水电路,脉冲阀节能驱动电路,水管温度上下限识别电路,温度调节电路,冷热水阀节能驱动控制电路,洗澡开关监测电路,电池电量检测报警电路,低压标准电源转换电路,串行数据输出控制电路等构成。程序包括: 设备识别及初始化 初始引脚数据值 中断初始化 初始化各标志位 循环查询开始 CSSTATE大小比较子程序 厕所脉冲阀打开子程序 厕所脉冲阀关闭子程序 红外接收子程序 红外发送子程序 洗澡初始及变化程序 洗手初始及变化程序 AD转换子程序 发送数据子程序 DATACONVERT子程序 DELAY10MS子程序 PUSH子程序 POP子程序 AD转换中断程序 红外接收中断程序 PORTB按键中断程序 TIME1定时中断程序,2008-12-02 19:42:10,下载71次
tongxinweixingkaiguanshezhi.rar - 这是参加我校大学生数模竞赛获奖作品,希望能给予其他同学数学模型的概念。本文要解决的是如何分配开关模式,来实现通讯卫星对不同接收站与发送站之间的信息传输,同时,尽量使所耗用的时间最短,或模式数不超过上限。,2008-12-02 15:48:16,下载7次
IFdetector.rar - 现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右, 相位正交误差在2°左右,即幅相误差引入的镜像功率在- 34dB 左右。这限制了信号处理器性能的提高, 为此, 近年来提出了对低中频直接采样恢复I、Q 信号的数字相位检波器。随着高位、高速A/ D 的研制成功和普遍应用,使得数字相位检波方法的实现成为可能。 对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。,2008-12-02 15:39:26,下载39次
ImageProcesing.rar - 编程实现:读一幅图像(BMP或JPG格式)并根据显示窗口大小自适应显示全图,实现如下功能:(1)可任意角度旋转;(2)可任意放大缩小;(3)任意选择一个区域,画出该区域图像的灰度直方图.,2008-12-02 15:11:03,下载86次

近期下载

收藏