LKing258

积分:262
上传文件:2
下载次数:66
注册日期:2011-01-01 14:36:03

上传列表
MonitorB.zip - 用VHDL写的一个信息监视系统,包括对信息的整形、串并转换和奇偶校验等 还有状态的判断,信息格式的判断等 一个监视器,2012-12-03 21:26:01,下载4次
HDQ.zip - Msp430单片机关于HDQ总线的编程源码,拿下去只需要适当的配置不同的寄存器就可运行。,2012-01-09 10:52:09,下载60次

近期下载

收藏