tufengbin

积分:290
上传文件:2
下载次数:0
注册日期:2011-02-26 18:17:26

上传列表
DTMF.rar - 用户任意输入一段电话号码,程序将其编码成DTMF信号并播放,2013-04-07 10:46:00,下载8次
digital-clock_VHDL.rar - 使用VHDL实现数字时钟,已在FPGA上验证,2013-04-07 10:37:08,下载3次

近期下载

收藏