wangdaliwangda

积分:254
上传文件:1
下载次数:0
注册日期:2011-04-30 13:08:21

上传列表
1602A-VHDL.rar - --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。,2011-04-30 13:19:58,下载18次

近期下载

收藏