guochao55555

积分:219
上传文件:6
下载次数:247
注册日期:2013-04-23 10:39:06

上传列表
mfc-source.rar - HOOK和数据库访问,包括如何用在文档程序中和dialog程序中建立数据库,并实现访问,2013-04-24 15:34:08,下载7次
doa.rar - 基于BPSK和MASK信号源特点的实值ESPRIT算法,2013-04-24 15:26:30,下载12次
frequency-synthesis.rar - 常用锁相环芯片参数,功能,使用环境。频率合成发展的历史及前景,2013-04-24 15:24:07,下载8次
comunication-tilter.rar - 设计一个具有信息筛选功能软件的框架,并列出实现方法和相关模块的功能,2013-04-24 15:14:11,下载3次
innovation-project.rar - 用SSI元件设计一个切比雪夫低通有源滤波器,2013-04-24 15:09:49,下载1次
traffic-lights-design.rar - 用MSI芯片设计一个时序逻辑电路,实现交通灯的功能(包括红绿灯的交替点亮,以及黄灯的闪烁),2013-04-24 15:03:03,下载4次

近期下载
udphole.rar - UDP打洞的源代码,可以作为UDP穿越防火墙的参考
TCP-IP详解卷一:协议.zip - TCP-IP详解卷一:协议.pdf。这个棒极了
tcpip详解 卷1 高清晰完整版pdf.zip - tcpip 详解,阅读完本书,助你更好的进行网络编程开发

收藏