南夏夏

积分:394
上传文件:5
下载次数:7
注册日期:2018-10-12 23:52:13

上传列表
8.15 基带码发生器程序设计与仿真.zip - 基于VHDL硬件描述语言的基带码发生器程序设计与仿真,基于VHDL硬件描述语言,产生常用基带码,2018-10-13 00:16:26,下载0次
8.6 DAC0832 接口电路程序.zip - DAC0832 接口电路程序。产生频率为762.9Hz的锯齿波。产生频率为762.9Hz的锯齿波。,2018-10-13 00:14:28,下载0次
8.21 自动售货机VHDL程序与仿真.zip - 自动售货机VHDL程序与仿真,货物信息存储,进程控制,硬币处理,余额计算,显示等功能。,2018-10-13 00:12:40,下载0次
8.23 波形发生程序.zip - 多功能波形发生器VHDL程序与仿真,:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出,2018-10-13 00:11:12,下载1次
zip.zip.zip - 基于VHDL语言,实现对高速A/D器件TLC5510控制,2018-10-13 00:02:11,下载0次

近期下载

收藏