wangdaoyun2537

积分:252
上传文件:18
下载次数:788
注册日期:2019-12-11 17:11:51

上传列表
离散数学.zip - 经典学习指导:离散数学,左孝陵版,高清PDF包含答案,2020-05-05 16:10:40,下载4次
379JSP学教在线交流平台.rar - JSP+MYSQL在线教学系统(毕业设计)。(1)老师信息管理功能 (2)学生信息管理功能 (3)教学资料管理功能 (4)教学视频管理功能 (5)试题信息管理功能 (6)留言信息管理功能 (7)学生在线学习模块,2020-05-05 15:53:35,下载6次
模拟电子技术.zip - 模拟电子技术基本教程课后习题答案(清华版),高清版。,2020-05-05 15:50:36,下载9次
机器人回复知识库.zip - 机器人回复知识库,包含问题和答案,三万多条。,2020-05-05 15:49:26,下载1次
Matlab人脸识别.zip - Matlab笔记本自带摄像头人脸识别.使用matlab打开笔记本自带的摄像头进行实时人脸识别。修改了matlab示例程序,具有较好的实时性和鲁棒性,能够在光线充足的环境下稳定识别并跟踪。,2020-05-05 15:43:20,下载6次
usb-ldo的pcb封装.RAR - usb ldo的pcb封装,有4个大的标准usbpcb封装,还有一个稳压模块的封装,2020-05-05 15:36:46,下载0次
STM32F107虚拟串口USB转串口.zip - STM32F107USB转串口透传源代码,需要从ST官网上下载ST专用的USB虚拟串口专用程序,把USB接口虚拟成一个串口来使用。,2019-12-11 18:07:19,下载2次
安卓效果.rar - ListView +ViewPage+Fragmen+TabHost滑动效果,上拉刷新效果,下拉加载更多效果,2019-12-11 18:04:40,下载1次
数据及代码.rar - R语言数据分析与数据挖掘实战,随书书籍和源代码,2019-12-11 18:02:41,下载6次
protege构建本体举例.zip - protege构建本体举例。通过制作一个简单的动物本体,来使大家了解protege建立owl的基础用法,2019-12-11 18:00:05,下载1次
jQuery_3.3.1中文手册.zip - jQuery_3.3.1中文手册,很实用的手册,在编写jQuery查看很实用,2019-12-11 17:56:39,下载1次
C++性能优化指南 .zip - C++性能优化指南 (带目录高清版),pdf版本,2019-12-11 17:42:12,下载26次
network-programming.zip - boost asio c++ 网络编程第二版英文原版pdf. 亲自制作,和其它地方找到的格式转换是不一样,2019-12-11 17:39:10,下载10次
webcrawler.rar - Android sutio项目,要想成功抓取课表和成绩,需要修改Constants.java中请求URL,请求参数等内容。,2019-12-11 17:37:20,下载1次
机器人动力学与控制.zip - 机器人动力学与控制(matlab英文版本)对机械臂的建模仿真以及控制,讲的非常详细。它分为机器人运动学、动力学和控制三个部分。,2019-12-11 17:32:48,下载22次
ssh框架实现仓库管理系统.zip - ssh框架实现仓库管理系统。有代码和文档,2019-12-11 17:25:54,下载18次
TestTFLite.zip - 使用TensorFlow Lite在Android手机上实现图像分类非量化的demo,2019-12-11 17:20:07,下载1次
C++ Primer Plus(第6版)中文版.zip - c++ primer plus 中文第六版。电子文字版可复制,非扫描版,azw3格式,2019-12-11 17:16:46,下载13次

近期下载
password-Lock.zip - 利用矩阵键盘做了一个密码锁,改密码锁原始密码为789/456
EDFA-ESA.rar - 针对optisystem中EDFA ESA 的基本图
net_nikongzhi.rar - BP神经网络设计自适应逆控制器,实现无模型控制
matlabyuyinshibiesuanfa.rar - matlab语音识别算法,包括预处理,特征提取,训练,识别算法,基于hmm模型
IQ_demodulation.m.zip - IQ正交采样处理,对实信号进行数字正交解调。一般用于雷达,通信前端。
labview_database_design.rar - labview数据采集数据库系统设计,其中使用labsql
UART.rar - 串口通讯 verilog CPLD EPM1270 源代码
FM0_Encode.zip - 双相间隔码编码(FM0)的C语言实现,应用于RFID领域,基于ISO.IEC_18000-6C协议。
Matlab_潮流计算程序N节点.rar - N节点电力系统,潮流计算,用MATLAB编程
DTMF.rar - 用MATLAB仿真DTMF,分为生成和检测两个部分
lfm.rar - 线性调频信号的匹配滤波器仿真,线性调频信号加高斯白噪声经过匹配滤波器滤波
时域频域29个特征提取.rar - 利用matlab提取出频域和时域信号的29个特征
6-sps workspace.zip - 一种用于求解六自由度并联平台可达空间的程序
logmmse.zip - 语音增强,对数MMSE算法,函数可直接调用,简单易操作
final-GPS.rar - GPS 读取数据,计算各种改正,包括电离层对流层等,以及画图
BS+python.zip - 用python语言实现金融中的BS定价,并应用于真实数据上。
2-feig.tar.gz - 利用QT写的飞鸽传书,传输文件目录,聊天。测试可以运行
SoFDTD.rar - 自编SOFDTD计算等离子体平板反射透射系数matlab程序
power-flow-calculation.rar - 电力系统潮流计算,运用牛顿拉夫逊法对小网络进行精确地潮流计算,并进行网络损耗计算
source20150513.rar - 这是风机在并入无限大电网的PSCAD仿真模型,对于研究风机并网特性有很好的效果

收藏