6314646

积分:80
上传文件:2
下载次数:0
注册日期:2018-11-04 09:00:00

上传列表
Apollo-11-master.zip - NASA代码,值得学习和观摩,师夷长技以制夷。,2021-04-08 21:25:02,下载0次
嵌入式系统软件设计中的常用算法(完整版).rar - 嵌入式系统软件设计中的常用算法(完整版),2018-11-04 09:08:22,下载12次

近期下载
8051.rar - 8051的vhdl源代码,主要针对初学者
VHDL_8051.rar - 一个用VHDL写的8051的内核,希望对学习IC设计的同仁有所帮助.
8051_core_VHDL.rar - Vhdl硬件描述语言例子集,含8051内核的源码
8051verilog源码.rar - 8051的Verilog
soc-OverviewProcessors.pdf.rar - 几款处理器相互比较,包括EXCALIBUR LEON MICROBLAZE NIOS OPENRISC VIRTEX II PRO(powerpc)
leon2-1[1].0.2a.rar - grlib源码,包含有设计实例以及工艺库,适合Leon未处理器的学习
SIS.rar - leon2的指令模拟器。leon是应用于航天领域的一款高可靠性的sparc v7指令集的处理器。
Sparc_leon_VHDL.rar - 一个航天航空用的Sparc处理器(配美国欧洲宇航局用的R_tems嵌入式操作系统)的VHDL源代码,但不能保证版图设计ASIC成功
leon3.rar - leon3 source code 虽然gaisler网站上有下载,但是提供此代码,希望能与更多的朋友一起学习leon
leon-2.2.tar.gz - 宇航级微处理器LEON2 2.2 VHDL源代码,很难找的.
verilog.rar - LEON(sparc)微处理器的源代码,有志于微处理器开发的多交流
leon2-1[1].0.2a.rar - leon微处理器源代码,航空专用,功能强劲。包括详细说明
leon2-1.0.30-xst.tar.gz - Leon2 CPU VHDL Source Code 欧洲航天局资助开发的LEON CPU,源码遵循GPL
leon2.rar - leon处理器代码,能正确通过design compiler,quartus的综合。
Leon2_microprocessor.rar - Inroduce the LEON 2 microprocessor
leon_smp.rar - Sparc-Leon SMP support for Linux v2.13.6.
leon_cpu.rar - leon cpu construction
sparcv8.rar - 一本写的不错的关于sparcv8体系架构的书籍
AT697_demo_code.zip - demo code for AT697 sparc V8 architecture
grlib-netlists-1.1.0.tar.gz - leon for 3 fpu. The LEON3 is a synthesisable VHDL model of a 32-bit processor compliant with the SPARC V8 architecture. The model is highly configurable, and particularly suitable for system-on-a-chip (SOC) designs.

收藏