6771883

积分:247
上传文件:6
下载次数:0
注册日期:2020-03-06 15:22:00

上传列表
spi_ctrl_demo.zip - 使用vhdl实现spi读取adxl357三轴方向的加速度计值,2020-03-06 15:44:13,下载4次
C语言.zip - 通过c语言实现加速度计ADXL357读取xyz三轴方向的加速度计值,2020-03-06 15:40:39,下载26次
new.zip - 通过spi实现加速度计adxl357读取xyz三轴方向的加速度值,2020-03-06 15:37:32,下载14次
EEPROM.zip - 用vhdl实现eeprom可存储的源代码,适用于所有的eeprom,2020-03-06 15:34:55,下载1次
fpga_fifo-master.zip - fifo源代码,深度可以任意设置,内含fifo的ip核等,2020-03-06 15:33:05,下载3次
1.zip - 本代码功能非常全,可以实现铁电ram和eeprom等的读写,fifo等功能,,2020-03-06 15:25:29,下载1次

近期下载
Xilinx_CORDIC.rar - 非常详细地描述了cordic算法的原理和实现,讲解通俗易懂,有别人旁注的笔记。
urisc.zip - 自己用verilog编写的urisc程序,调试成功,压缩包里有仿真图像,值得学习参考。
Whac-A-Mole.rar - VHDL语言打地鼠小游戏,包含整个工程和仿真波形。
moshijishu.zip - FPGA基础代码,模10计数器,可实现加计数
(15-7-2)BCH.zip - Verilog HDL 语言编写的(15,7,2)BCH编码和译码功能
xunhuanm.rar - 【7,4.3】循环码的编译码讲解及代码,通过Quartus9.0编译并仿真分析。
CyCDecoding.rar - 循环码解码编码,对应人民邮电版《通信原理》中(7,4)循环码译码过程。代码清晰简单
Huffman编码(最终版).zip - 哈夫曼编码全代码,适用于FPGA vivado 工具链,编译下载到ZedBord开发板
huffman.rar - 基于fpga的霍夫曼编码Huffman Encoder
基于VHDL语言的VGA图像显示程序及其工程文件.rar - 用VHDL语言实现FPGA的VGA显示,显示一幅图片,
OV7670_VGA_640x480.zip - 本文件包含了一个可以直接使用的工程文件,实现图像的采集(OV7670)传输保存(SDRAM)和显示(VGA),像素大小为640x480
OV7670_VGA.rar - OV7670_VGA 是摄像头OV7670跟VGA接口综合实验,实验现象是摄像头OV7670采集图片,通过VGA接口连接显示器,显示器可以实时显示摄像头的采集的图像

收藏