sh-1993 在 2024-01-12 17:27:04 上传
说明:I2C总线上的tm1650 7段显示显示控制器的Plan9(9p)文件系统。
开发平台:C | 大小:0KB | 下载0次
sh-1993 在 2024-01-11 14:44:38 上传
说明:ESP32 ESP8266 arduino脚本,仅适用于带导线的AHT20+BMP280。小时
开发平台:C++ | 大小:0KB | 下载1次
sh-1993 在 2024-01-10 14:33:06 上传
说明:库支持HD44780 LCD,(I2C PCF8574“背包”接口)用于RPI PICO RP2040 C++SDK
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2024-01-10 13:46:26 上传
说明:Java 21+库,用于与GPIO和硬件接口交互
开发平台:Java | 大小:0KB | 下载0次
sh-1993 在 2024-01-07 13:53:34 上传
说明:MicroPython I2C库和重力示例:离线语言学习语音识别传感器
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2024-01-07 13:35:11 上传
说明:在小阁楼13A微控制器上使用Rust编程语言的周末实验。
开发平台:Rust | 大小:0KB | 下载0次
sh-1993 在 2024-01-06 19:38:01 上传
说明:布尔诺理工大学AVR课程
开发平台:C | 大小:0KB | 下载0次
sh-1993 在 2023-12-31 22:50:51 上传
说明:P8X32A螺旋桨,P2X8C4M64P TI INA219电源监控IC的螺旋桨2驱动器对象
开发平台:Propeller Spin | 大小:0KB | 下载0次
sh-1993 在 2023-12-31 14:49:17 上传
说明:Python库,为覆盆子Pi(32位和64位)启用128x32像素OLED。
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-12-31 15:02:03 上传
说明:Home Assistant的附加模块,允许您围绕Home AssiAssistant设置扩展功能。
开发平台:Shell | 大小:0KB | 下载0次
sh-1993 在 2023-12-31 11:21:51 上传
说明:你想在你的个人电脑或笔记本电脑上有一个SSD是的,你想。我当然是在谈论SSD1306。
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-12-28 21:45:32 上传
说明:Raspberry Pi单板计算机的工具、实用程序和示例代码。
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-12-28 12:01:26 上传
说明:该项目利用覆盆子Pi的功能,通过I2C smbus与MPU6050传感器接口。它利用传感器的数字运动处理器(DMP)来提供方向、线性加速度和角速度的完全过滤读数,并将它们作为ROS主题发布。
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-12-18 01:58:48 上传
说明:i2c传感器
开发平台:Jupyter Notebook | 大小:0KB | 下载0次
sh-1993 在 2023-12-17 12:26:50 上传
说明:P8X32A螺旋桨,P2X8C4M64P TI INA260电源监测器IC的螺旋桨2驱动器对象
开发平台:Propeller Spin | 大小:0KB | 下载0次
sh-1993 在 2023-12-12 05:15:09 上传
说明:树莓派LCD1602 i2c驱动golang版
开发平台:GO | 大小:0KB | 下载0次
sh-1993 在 2023-12-15 12:30:21 上传
说明:ams TCS34725和TCS34727颜色传感器的Arduino库
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-06-12 22:15:43 上传
说明:Arduino Library可访问MCP7940M、MCP7940.N和MCP7940 x实时芯片
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-12-14 12:01:31 上传
说明:不同I2C主机的LED-Warrior14示例
开发平台:Others | 大小:0KB | 下载0次
sh-1993 在 2023-12-11 13:46:35 上传
说明:用于实验和学习编程ESP8266微控制器的各种Arduino草图
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-12-12 15:29:46 上传
说明:SystemVerilog验证I2C接口
开发平台:SystemVerilog | 大小:0KB | 下载0次
sh-1993 在 2023-12-09 22:09:22 上传
说明:C-Library用于嵌入式外围设备,如闪存、显示器、PWM-控制器。使用简单的通用接口进行设备通信...
开发平台:C | 大小:0KB | 下载0次
sh-1993 在 2023-12-05 10:15:17 上传
说明:用于USB驱动器的小型自动防病毒扫描仪,由Raspberry Pi 4、LCD20x4和ClamAV提供支持。
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-12-01 10:38:23 上传
说明:内部集成电路的RTL设计
开发平台:Verilog | 大小:0KB | 下载0次
sh-1993 在 2023-12-01 22:27:06 上传
说明:此示例包含PIC18F56Q71系列的简化参考I2C驱动程序。
开发平台:C | 大小:0KB | 下载0次
sh-1993 在 2023-11-29 09:26:29 上传
说明:在BeagleBone Black上运行South Coast Science Debian的数据生产者或消费者的主机抽象
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-11-26 12:33:27 上传
说明:用于将CH341A EEPROM FLASH编程器用于其他目的的Windows工具
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-11-21 20:18:07 上传
说明:Heizungssteuerung(黑龙江省)
开发平台:C++ | 大小:0KB | 下载0次
sh-1993 在 2023-11-21 11:07:52 上传
说明:PCF8563_密码库
开发平台:Python | 大小:0KB | 下载0次
sh-1993 在 2023-11-23 22:56:21 上传
说明:SparkFun_Bio_Sensor_Hub_库
开发平台:C++ | 大小:0KB | 下载0次