windyqx 在 2012-06-14 23:30:25 上传
说明:I2C,总线设计,ISE完整工程,详尽设计,交流学习
开发平台:VHDL | 大小:464KB | 下载11次
summonsss 在 2012-06-12 20:18:07 上传
说明:此源代码,是一个在TMS5509A平台上运行的工程,主要功功能是音频信号采集处理,用到了不同的DSP外设。 已通过测试。
开发平台:C/C++ | 大小:287KB | 下载7次
hellohelloworldworld 在 2012-06-07 21:18:27 上传
说明:i2c verilog描述 商用级别 可直接用于芯片设计/fpga设计
开发平台:VHDL | 大小:19KB | 下载23次
zyb3602373 在 2012-05-30 10:07:15 上传
说明:DSP28335,I2C读写RTC时钟程序,已经成功调试。
开发平台:C/C++ | 大小:593KB | 下载85次
lianchen0000 在 2012-05-30 09:15:57 上传
说明:I2C从机控制信号,控制I2C,保证正常工作
开发平台:VHDL | 大小:3KB | 下载31次
lianchen0000 在 2012-05-30 09:08:34 上传
说明:I2C控制,I2c master-bitctrl。v控制信号
开发平台:VHDL | 大小:2KB | 下载4次
mylovec07 在 2012-05-23 01:17:22 上传
说明:i2c core : i2c master top
开发平台:VHDL | 大小:4KB | 下载10次
mylovec07 在 2012-05-23 01:16:43 上传
说明:i2c core : i2c master byte control
开发平台:VHDL | 大小:3KB | 下载2次
mylovec07 在 2012-05-23 01:14:58 上传
说明:i2c core : top module
开发平台:VHDL | 大小:3KB | 下载7次
bbwei 在 2012-05-08 10:54:04 上传
说明:some pdf about synopsys design ware i2c timer uart
开发平台:VHDL | 大小:3316KB | 下载65次
billy886 在 2012-05-08 10:49:24 上传
说明:i2c communication commands
开发平台:PDF | 大小:1209KB | 下载7次
水瓶座 在 2012-05-07 13:08:07 上传
说明:stm8 I2c源代码 www.zxkjmcu.com
开发平台:C/C++ | 大小:45KB | 下载114次
vfrbgtnhy 在 2012-05-06 10:59:17 上传
说明:单片机模拟IIC的具体方法和源程序,运用的语言为C语言
开发平台:Visual C++ | 大小:35KB | 下载2次
wzwzzwzzz 在 2012-05-03 19:18:58 上传
说明:nxp-lpc1768 zlg i2c 程序,实例程序。
开发平台:C/C++ | 大小:70KB | 下载100次
hanbo09876 在 2012-05-01 14:41:23 上传
说明:用FPGA模拟I2C对TVP5150AM1芯片进行配置,属于简单的I2C应用,用FPGA模拟,省去了用硬件实现I2C,是的工作简单。
开发平台:VHDL | 大小:865KB | 下载6次
yiranjiubao 在 2012-04-26 19:49:47 上传
说明:msp430F149驱动24c20,i2c协议,加串口通信
开发平台:C/C++ | 大小:32KB | 下载33次
njuptzzl 在 2012-04-26 17:37:24 上传
说明:i2c总线控制器,实现基本的传送接受功能
开发平台:VHDL | 大小:5KB | 下载2次
w_xf1985 在 2012-04-21 10:07:14 上传
说明:i2c的通信协议,中英文都有,版本是V2.1
开发平台:PDF | 大小:1033KB | 下载4次
wddxsh 在 2012-04-18 21:04:03 上传
说明:verilog的i2c代码,含仿真环境,测试testbench等
开发平台:VHDL | 大小:1967KB | 下载22次
reid123 在 2012-04-03 18:30:47 上传
说明:LPC2100系列I2C通讯代码,希望大家喜欢
开发平台:Visual C++ | 大小:41KB | 下载4次
alshamkh1000 在 2012-04-03 14:22:27 上传
说明:spi to i2c - - - - - - - - -- - - - - - - - - - - - - - - --
开发平台:VHDL | 大小:759KB | 下载7次
xrun 在 2012-03-24 19:48:28 上传
说明:stm32 I2C 驱动改进 I2C.C I2C.H
开发平台:C/C++ | 大小:2KB | 下载43次
陈勇4100 在 2012-03-23 10:25:42 上传
说明:freescale mc9s12xdt512 mcu i2c 程序,实现i2c 协议,进行通信
开发平台:Others | 大小:302KB | 下载7次
somjit 在 2012-03-22 09:52:41 上传
说明:Testbench file 3 for an i2c controlling an I2c slave device
开发平台:VHDL | 大小:1KB | 下载4次
somjit 在 2012-03-22 09:51:42 上传
说明:Testbench part 2 for an i2c controlling an I2c slave device
开发平台:VHDL | 大小:2KB | 下载9次
somjit 在 2012-03-22 09:50:57 上传
说明:Testbench for an i2c controlling an I2c slave device
开发平台:VHDL | 大小:1KB | 下载3次
jack9527 在 2012-02-21 21:49:20 上传
说明:嵌入式开发学习攻略(精品资料汇总) 对嵌入式学习整体的把握
开发平台:PDF | 大小:1212KB | 下载14次
Sunshine-zx1278 在 2012-02-18 11:14:57 上传
说明:I2C 控制器的 Verilog源程序以及I2C规范说明
开发平台:VHDL | 大小:9KB | 下载9次
loves0812 在 2012-02-16 16:53:11 上传
说明:做asic编程时会用到的i2c资料,这个资料还挺全面的,中文。你会发现它很强大
开发平台:VHDL | 大小:299KB | 下载2次
xufz 在 2012-02-13 21:36:56 上传
说明:stm32 i2c 程序,使用3.4固件库,实现I2c初始化,单字节读取,多字节读取以及字节发送
开发平台:C/C++ | 大小:4KB | 下载88次