holly虚一特 在 2018-04-13 22:37:08 上传
说明:利用matlab编写CRC校验程序,附源代码
开发平台:matlab | 大小:71KB | 下载60次
九龙神 在 2018-04-13 13:52:59 上传
说明:CRC校验实例,delphi7版,需要的朋友可以下载
开发平台:Delphi | 大小:6299KB | 下载1次
Rev 在 2018-04-12 14:46:10 上传
说明:CRC Code Library MIT licensed Open Source
开发平台:C/C++ | 大小:62KB | 下载2次
5933438 在 2018-04-01 08:12:17 上传
说明:crcr校验码计算,很好的一个程序,简单实用,必备的工具
开发平台:WINDOWS | 大小:2371KB | 下载1次
Linvec 在 2018-03-29 13:20:47 上传
说明:CRC16-rtu-超方便移植 字符串协议超级好玩的crc
开发平台:WINDOWS | 大小:47KB | 下载1次
wanxinkon 在 2018-03-27 17:14:57 上传
说明:CRC校验码计算介绍及使用详细,关于CRC效验的说明调试,已经怎么使用的函数。十分有用,在PLC和上位机通信当中有用,各种CRC的算法。
开发平台:Delphi | 大小:499KB | 下载3次
iajle 在 2018-03-17 08:50:05 上传
说明:CRC校验,完成Crc校验 CRC check, complete Crc check
开发平台:C/C++ | 大小:3KB | 下载3次
羊。 在 2018-03-10 22:39:05 上传
说明:CRC冗余校验码的编译码仿真实现 ,MATLAB仿真
开发平台:matlab | 大小:136KB | 下载5次
小李子公公 在 2018-03-07 13:58:38 上传
说明:程序主要用来检测或校验数据传输或者保存后可能出现的错误。它是利用除法及余数的原理来作错误侦测的。
开发平台:Verilog | 大小:7KB | 下载3次
名地方 在 2018-03-06 20:17:19 上传
说明:CRC_16的实现算法源码 可直接用于工程项目当中
开发平台:Verilog | 大小:13KB | 下载2次
Teichmuller 在 2018-02-15 16:33:12 上传
说明:CRC-32 pascal module
开发平台:Pascal | 大小:8KB | 下载1次
FYSG 在 2018-02-08 11:29:09 上传
说明:CRC32:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8 CRC16:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8
开发平台:VHDL | 大小:2KB | 下载2次
fangyu7777 在 2018-02-04 22:14:16 上传
说明:使用C语言开发,crc校验的算法,测试通过了,没有问题,可直接使用
开发平台:C/C++ | 大小:1KB | 下载1次
4180719 在 2018-01-24 15:15:21 上传
说明:主要介绍CRC算法,方便理解CRC原理。里面也有相关程序
开发平台:C/C++ | 大小:80KB | 下载2次
ktdckhckyt 在 2018-01-17 23:46:33 上传
说明:手机投影到电脑屏幕,截图后通过百度CRC进行图片文字识别,搜索答案
开发平台:Python | 大小:1691KB | 下载1次
sun211 在 2018-01-17 23:07:50 上传
说明:485串行通讯Labview串行通讯专题讲座介绍
开发平台:LabView | 大小:10KB | 下载2次
WK-214 在 2018-01-16 07:57:33 上传
说明:MODBUS-RTU计算CRC-16校验
开发平台:C# | 大小:4KB | 下载1次
夏日的焰火 在 2018-01-13 11:57:45 上传
说明:采用算法为:X^16+X^12+X^5 仿真验证通过,非常好用,大家可以用一下试试看
开发平台:Verilog | 大小:9874KB | 下载8次
Babooco 在 2018-01-09 17:00:38 上传
说明:stack TCP/IP for windows 10
开发平台:WINDOWS | 大小:119KB | 下载1次
mike123 在 2018-01-03 17:27:41 上传
说明:主要包括调制解调,turbo编码,CRC检验等
开发平台:matlab | 大小:27KB | 下载1次
qwecc 在 2017-12-30 20:46:18 上传
说明:daoh sadsdcfsd dfrav va f adgvdfv
开发平台:WINDOWS | 大小:25KB | 下载1次
Tfdhqb 在 2017-12-15 20:30:38 上传
说明:CRC校验,完成Crc校验 CRC check, complete Crc check
开发平台:C++ | 大小:3KB | 下载1次
飞飞亲 在 2017-12-09 16:53:49 上传
说明:实现了MODBUS协议的CRC-16校验,数据可以连续进行输入,可以连续得出N个字节的校验码
开发平台:Verilog | 大小:1KB | 下载10次
知行合一021596 在 2017-12-05 22:36:18 上传
说明:ModBus CRC16校验 LabView程序源码
开发平台:LabView | 大小:570KB | 下载3次
chris_lj 在 2017-11-21 15:19:31 上传
说明:包括下面文档: readme.txt : This file crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CCIT, 8-bit data input. crc32_8.v : CRC-32, 8-bit data input. crcgen.pl : Perl script used to generate Verilog Source for CRC caluculation.
开发平台:Verilog | 大小:10KB | 下载27次
睡意浅浅 在 2017-11-21 14:59:05 上传
说明:CAC16 cac校验程序 modbus用
开发平台:C/C++ | 大小:1KB | 下载1次
安静看看 在 2017-11-20 11:10:55 上传
说明:4G-LTE标准中turbo编码所用到的CRC编码,绝对可用!
开发平台:VHDL | 大小:2KB | 下载8次
marktuwen 在 2017-11-20 10:21:38 上传
说明:通过FPGA驱动千兆以太网口,完成SPARTAN6上的UDP数据包闭环测试,即通过网口发送数据包到FPGA,FPGA内部将接收到的数据返回到PC机,建议测试之前添加ARP静态绑定,FGPA内部的IP以及MAC地址在ROM里的COE文档里可以看到,发送端添加了CRC以及整体CHECKSUM的计算
开发平台:Verilog | 大小:23381KB | 下载41次
wusl 在 2017-11-16 18:40:58 上传
说明:CRC check pascal source code
开发平台:Delphi | 大小:29KB | 下载1次
二笨鱼 在 2017-11-09 13:17:42 上传
说明:以CRCPoly为特征多项式生成CRC-16校验码
开发平台:matlab | 大小:13KB | 下载4次