13475115012 在 2009-03-11 12:53:35 上传
说明:cpld 在实际设计中的应用。以及开发际例。
开发平台:VHDL | 大小:2725KB | 下载38次
eastsunman 在 2009-03-11 10:20:04 上传
说明:-- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间 --划分为8个时隙以使通信同步. --程序的工作过程是:串口处于全双工工作状态,按动SW0,CPLD向PC发送“welcome" --字符串(串口调试工具设成按ASCII码接受方式);PC可随时向CPLD发送0-F的十六进制 --数据,CPLD接受后显示在7段数码管上。
开发平台:VHDL | 大小:64KB | 下载118次
weiwgml 在 2009-02-27 13:51:08 上传
说明:几个关于CPLD和CAN总线的资料,和大家共享
开发平台:Others | 大小:1489KB | 下载3次
zhaoxu2009 在 2009-02-21 18:37:27 上传
说明:可编程逻辑器件是一种可以通过编程,改变系统连线,达到系统重构的器件,该器件 可以现场编程,就是说当该器件安装到电路板上后,可以对它的功能进行重新设置,这样 就可以非常方便的进行数字系统的设计与制作
开发平台:PDF | 大小:127KB | 下载4次
qq56755635 在 2009-02-20 23:47:39 上传
说明:CPLD技术及其应用(电子书,pdf 格式) CPLD技术及其应用(电子书,pdf 格式)
开发平台:VHDL | 大小:13001KB | 下载6次
老木木 在 2009-02-18 17:37:05 上传
说明:5分钟学会CPLD 是cpld/fpga入门的好材料
开发平台:PDF | 大小:1528KB | 下载6次
squallbilly 在 2009-01-21 14:38:52 上传
说明:一个ALTERA公司EPM1270 cpld的实验板原理图,其中有PCI接口电路,PDF格式
开发平台:PDF | 大小:235KB | 下载218次
cdljczl 在 2009-01-14 16:22:30 上传
说明:使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA
开发平台:VHDL | 大小:1029KB | 下载65次
cc0202 在 2008-12-29 14:27:21 上传
说明:51CPLD学习板实验指导书,还可以,有原理图,有程序,有讲解,软硬结合.
开发平台:C/C++ | 大小:1481KB | 下载34次
zou523222458 在 2008-12-25 16:40:17 上传
说明:LJ-MC500B 是集MCS-51单片机开发板、AVR单片机开发板、CPLD开发板、单片机烧录器以及单片机与CPLD复杂实验于一体的一款功能强大的综合开发实验平台。板上资源 丰富,从简单到复杂,包含了单片机的最小系统以及复杂的单片机系统设计,即使是对单片机或CPLD一点也不了解,都可以帮助你快速掌握单片机技术与CPLD设计技术,同时也是广大电子工程师相当好的实验设备。
开发平台:Others | 大小:749KB | 下载20次
zhaijinqian 在 2008-12-19 19:59:41 上传
说明:CPLD入门知识,老师的课件!希望可以对大家有所帮助。
开发平台:PPT | 大小:1043KB | 下载34次
peter_84875466 在 2008-12-15 00:50:01 上传
说明:用CPLD/FPGA驱动ADC0809芯片的VHDL源程序
开发平台:VHDL | 大小:153KB | 下载66次
独孤八剑 在 2008-12-14 14:43:01 上传
说明:CPLD开发板VHDL源程序并附上开发板的原理图
开发平台:VHDL | 大小:4599KB | 下载58次
shebude 在 2008-12-13 13:41:29 上传
说明:cpld方面的学习书籍 希望对大家又帮助
开发平台:VHDL | 大小:5783KB | 下载6次
pwj 在 2008-12-13 10:38:28 上传
说明:学习fpga/cpld的书籍,介绍quartus 2及dsp builder的使用,
开发平台:VHDL | 大小:13673KB | 下载141次
bin0bin0bin 在 2008-12-11 12:10:22 上传
说明:ISA板卡,CPLD原理图,altera maxII CPLD芯片。实现运动控制,标准安川伺服器控制接口。
开发平台:VHDL | 大小:1352KB | 下载213次
zhongyijie 在 2008-12-11 00:39:49 上传
说明:cpld与pc机通信的VHDL代码,用于模拟cs232收发功能
开发平台:VHDL | 大小:7KB | 下载78次
mountain8848 在 2008-12-09 22:13:22 上传
说明:用Altera CPLD做为控制器从Flash上读取image文件对Altera FPGA编程
开发平台:VHDL | 大小:4KB | 下载20次
kinghl1234 在 2008-12-07 20:12:56 上传
说明:《CPLD_FPGA设计及应用》课件与实例
开发平台:VHDL | 大小:21234KB | 下载9次
litewei 在 2008-12-03 08:39:49 上传
说明:VHDL语言编写的全功能串口模块(包含DTR,RTS等管脚),在CPLD器件上测试通过
开发平台:VHDL | 大小:218KB | 下载135次
lijiangqi100 在 2008-12-02 19:24:37 上传
说明:设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 由系统提供的时钟源引入扫描信号,根据VGA彩色显示器的工作原理,设计出各种颜色编码和行场扫描信号。将并口线从计算机并口与CPLD/FPGA适配板连接好,然后将VGA接口与彩色显示器连接好,彩条信号就可以在显示器中产生,通过按键可以改变产生彩条的方式,共六种彩条信号,两种横彩条,两种竖彩条,两种棋盘格。本实验运用层次化设计出VGA彩条信号发生器,由行场信号模块模块和彩条信号发生模块构成,彩条信号发生器的顶层原理图如图10.7 所示.
开发平台:VHDL | 大小:7KB | 下载45次
buttindark 在 2008-11-27 12:37:23 上传
说明:QQ2812开发板的CPLD源代码,CPLD芯片为ALTERA公司MAX3000A系列芯片
开发平台:VHDL | 大小:99KB | 下载172次
做人要厚道 在 2008-11-27 10:56:02 上传
说明:cpld的PWM输出控制,初学cpld良好例程
开发平台:VHDL | 大小:59KB | 下载43次
zyx_w 在 2008-11-25 20:06:53 上传
说明:I2C中文规范参考资料,CPLD程序设计实现I2C总线
开发平台:VHDL | 大小:764KB | 下载23次
csallon 在 2008-11-24 16:25:19 上传
说明:TI 原装开发板 DM6467 原理图 CPLD 给需要的人
开发平台:C/C++ | 大小:436KB | 下载247次
1306559 在 2008-11-21 17:44:09 上传
说明:PWM文件 用于CPLD,学习如何用VHDL语言写程序
开发平台:VHDL | 大小:279KB | 下载21次
phoebin 在 2008-11-17 20:34:33 上传
说明:用DSP进行语音压缩的一个开发实例(PCI总线)。详细介绍了PCI总线以及语音压缩数字信号处理系统的软、硬件系统设计方案及架构
开发平台:C/C++ | 大小:325KB | 下载16次
wangwang1980 在 2008-11-13 09:52:27 上传
说明:CPLD在断路器在线监测数据采集系统中的应用研究
开发平台:Others | 大小:36KB | 下载31次
gao126 在 2008-11-13 09:17:47 上传
说明:CPLD/FPGA 入门文档。国内某知名fpga开发商编写的基础教程,共18篇。从使用fpga如何点亮led灯到VGA到8051内核使用方法。如果您是打算学习cpld/fpga,建议先阅读这些文章再选择采购开发板。
开发平台:VHDL | 大小:5380KB | 下载228次