loosen 在 2012-06-14 16:55:31 上传
说明:交通灯,,指示交通灯信号,,红灯黄灯绿灯,,
开发平台:Visual C++ | 大小:21KB | 下载3次
chenyunan1234 在 2012-05-31 13:17:27 上传
说明:一个简单的交通灯控制系统,没有故障处理功能
开发平台:LabView | 大小:24KB | 下载8次
gaofen1990@126.com 在 2012-05-30 21:09:37 上传
说明:简单的做了一个交通灯,黄灯会闪,适合大作业使用
开发平台:LabView | 大小:21KB | 下载21次
jianpuzhe 在 2012-05-21 09:54:43 上传
说明:交通灯实验 用PLC实验台调试 成功 交通灯
开发平台:TEXT | 大小:2KB | 下载3次
mingshaoyu 在 2012-05-17 19:07:35 上传
说明:交通灯,红黄绿三色循环,可以设置持续时间
开发平台:LabView | 大小:53KB | 下载12次
xuanyuanzhuhe 在 2012-05-10 13:44:00 上传
说明:交通灯的vhdl实现,实现完全交通等功能,可供调试
开发平台:VHDL | 大小:1121KB | 下载10次
hello_vs 在 2012-05-08 16:34:30 上传
说明:东西南北交通灯 ,很好的一个程序。希望大家能看到我的程序。
开发平台:Others | 大小:29KB | 下载5次
bk20111519 在 2012-05-06 21:05:59 上传
说明:智能交通信号灯的设计与仿真,以及紧急状况的处理,行人通行按钮
开发平台:Visual C++ | 大小:2KB | 下载6次
liangsimin 在 2012-04-23 19:36:23 上传
说明:交通灯设计方案,完全可用,采用数码管显示时间,包括马路交通灯的设计,三种颜色显示的交通灯
开发平台:MathCAD | 大小:26KB | 下载3次
Tiffany rain 在 2012-04-18 16:48:56 上传
说明:交通灯设计方案 基于VHDL的红绿黄交通灯控制系统
开发平台:VHDL | 大小:146KB | 下载3次
1772217681qq 在 2012-04-14 14:45:21 上传
说明:数显交通灯控制编程 数显交通灯控制编程
开发平台:Visual C++ | 大小:2KB | 下载3次
TTNN23 在 2012-03-16 12:38:07 上传
说明:promodel交通灯仿真模型 实现交通灯智能控制 紧急情况等等
开发平台:Others | 大小:59KB | 下载6次
trinityts 在 2012-02-22 11:46:34 上传
说明:在DSP开发板上实现的交通灯程序,每行代码均有注释。
开发平台:Visual C++ | 大小:84KB | 下载9次
万叶 在 2012-02-13 15:07:28 上传
说明:用dsp实现交通灯综合控制,交通灯分红黄绿三色,东、南、西、北各一组,用灯光信号实现对交通的控制:绿灯信号 表示通行,黄灯表示警告,红灯禁止通行,灯光闪烁表示信号即将改变。 - 计时显示:8×8 点阵显示两位计数,为倒计时,每秒改变计数显示。 - 正常交通控制信号顺序:正常交通灯信号自动变换: ⑴ 南北方向绿灯,东西红灯(20 秒)。 ⑵ 南北方向绿灯闪烁。 ⑶ 南北方向黄灯。 ⑷ 南北方向红灯,东西方向黄灯。 ⑸ 东西方向绿灯(20 秒)。 ⑹ 东西方向绿灯闪烁。 ⑺ 东西方向黄灯。 ⑻ 返回⑴循环控制
开发平台:C/C++ | 大小:439KB | 下载13次
回飞 在 2012-02-01 21:01:31 上传
说明:交通灯 十字路口的交通灯 学习好资料 交通灯 十字路口的交通灯 学习好资料
开发平台:Visual C++ | 大小:45KB | 下载11次
chyxbbw 在 2011-11-28 15:06:58 上传
说明:TMS320VC5509内部的交通灯模拟程序。
开发平台:C/C++ | 大小:217KB | 下载9次
hdklj 在 2011-11-22 15:18:25 上传
说明:使用verilog实现的简单交通灯控制程序,只是实现的红绿黄灯定时。
开发平台:VHDL | 大小:1KB | 下载8次
xiyingfan 在 2011-11-17 13:49:25 上传
说明:交通灯。红绿灯显示。红灯黄灯绿灯交替显示,时间按秒计算。
开发平台:C/C++ | 大小:1KB | 下载3次
chen1076266745 在 2011-08-31 18:53:27 上传
说明:单片机交通灯设计,实现东西南北交通灯的一种流水灯模式
开发平台:Visual C++ | 大小:53KB | 下载8次
dhgchina 在 2011-08-29 10:46:00 上传
说明:基于单片机的交通灯电路及程序设计,包含Proteus仿真
开发平台:C/C++ | 大小:143KB | 下载13次
liubin2429 在 2011-07-22 15:03:29 上传
说明:交通灯eda课程设计(quartues 11)
开发平台:VHDL | 大小:322KB | 下载5次
fanxin945 在 2011-07-14 15:26:38 上传
说明:交通信号灯的倒计时操作,以及温度提示功能,时间可设定
开发平台:C/C++ | 大小:338KB | 下载5次
jiushi26 在 2011-07-11 17:04:42 上传
说明:控制交通灯,使交通灯能够不停的变换,并有黄灯
开发平台:C/C++ | 大小:36KB | 下载4次
ZHOUXIANG 在 2011-07-07 15:32:37 上传
说明:proteus中51单片机控制交通灯的仿真及论文 很好很强大
开发平台:C/C++ | 大小:181KB | 下载19次
Jingeliang17 在 2011-07-01 20:22:57 上传
说明:交通灯,在CPLD的开发板上实现交通灯的程序
开发平台:VHDL | 大小:35KB | 下载6次
中国库 在 2011-06-23 09:55:40 上传
说明:文件包括几种交通灯实例,目的通过简易交通灯,来掌握单片机基础知识。关键词:交通灯,51MCU
开发平台:C/C++ | 大小:190KB | 下载5次
laoziqiniu 在 2011-06-19 10:28:31 上传
说明:交通灯代码 实现交通灯的 控制交换的模拟功能
开发平台:VHDL | 大小:25KB | 下载5次
vbzhangyalong 在 2011-06-16 14:54:38 上传
说明:你可以方便快捷地学习交通指示灯的相关知识
开发平台:Visual Basic | 大小:10KB | 下载6次
huangh123 在 2011-06-09 13:34:11 上传
说明:本程序包含了一个交通灯仿真系统的应用代码。
开发平台:LabView | 大小:39KB | 下载14次
yzydd 在 2011-06-09 10:28:44 上传
说明:eda课程设计交通灯的源代码,可以运行,不需要修改
开发平台:Visual Basic | 大小:3KB | 下载7次