核桃%核桃 在 2019-04-04 21:00:25 上传
说明:1、接收4位输入,最多存储8个,类似于先进先出的循环队列 2、输入和输出都有使能信号 3、输出有两种(在NEXYS4操作板上),一种以4位out输出队列首元素,一种以8个7段数码管显示队列状态(当前首元素右下方的小数点亮,每个数码管显示一个寄存器里的数据。
开发平台:Verilog | 大小:3546KB | 下载1次
eceiev 在 2019-04-01 13:03:45 上传
说明:? 参考mcdt_basic_virtual_sequence与mcdt_basic_test之间 的对应关系,将剩余的mcdt_burst_test和 mcdt_fifo_full_test也剥离为virtual sequence与对应的test。 ? 将之前为了满足覆盖率而实现的其它test也按照以上的要求进行 剥离。 ? 在完成以上的virtual sequence与test关系剥离之后,更新后的 测试用例可以通过,且合并后的覆盖率可以满足之前的要求
开发平台:Verilog | 大小:11KB | 下载4次
志刚18 在 2019-03-13 01:38:44 上传
说明:并行读写14路串口数据,数据被写入FIFO,在收到读写信号后,SPI发送数据出去
开发平台:VHDL | 大小:28143KB | 下载4次
何妨轻佻 在 2019-03-07 20:11:49 上传
说明:自定义异步FIFO设计,用于数字ic及fpga设计中的数据缓存
开发平台:Verilog | 大小:2KB | 下载2次
hhhYangGe 在 2019-03-07 15:20:01 上传
说明:对于Quartus自带的IP-core FIFO的测试程序,含程序源码,仿真文件,Modelsim自动化仿真Do文件,Quartus工程
开发平台:Verilog | 大小:6041KB | 下载5次
sxp19880607 在 2019-03-06 11:36:53 上传
说明:用于演示fifo应用程序,可实现缓存的读写,适合在接收中断函数中写入缓存,在应用任务中读出数据,方便快捷的处理数据。
开发平台:C/C++ | 大小:19KB | 下载0次
mlbangare 在 2019-03-05 00:37:42 上传
说明:fisrt in first out s pogram
开发平台:C/C++ | 大小:10KB | 下载0次
明月心447 在 2019-01-21 17:21:27 上传
说明:掌握SDRAM数据读写、刷新、初始化以及FPGA串口收发时序,熟练FIFO IP核的生成和调用。
开发平台:Verilog | 大小:11KB | 下载12次
雨狼007 在 2019-01-09 15:32:03 上传
说明:verilog实现的fifo的读和写,内含仿真文件,亲测好用
开发平台:Verilog | 大小:3384KB | 下载4次
6362644 在 2018-12-11 23:24:09 上传
说明:FIFO and clkcore IPs
开发平台:Verilog | 大小:3802KB | 下载0次
kkudenje 在 2018-12-02 19:42:24 上传
说明:通过内存文件映象实现了一个FIFO消息队列,可以工作在所有的windows版本中
开发平台:C++ Builder | 大小:74KB | 下载1次
M8 在 2018-12-02 16:00:41 上传
说明:ddd
开发平台:matlab | 大小:4KB | 下载1次
韦辉 在 2018-11-28 21:42:58 上传
说明:一个可以综合的Verilog 写的FIFO存储器,适合自己设计FIFO,不用IP核, 在 Quartus II综合过,基本可以用
开发平台:Quartus II | 大小:19KB | 下载3次
洋芋叼毛兽 在 2018-10-31 10:33:03 上传
说明:二级寄存器格雷码,fifo,256深度,全部一个代码
开发平台:VHDL | 大小:130KB | 下载0次
莳茪荏苒 在 2018-09-29 15:15:23 上传
说明:1、初步掌握FPGA开发流程,熟悉Quartus II的FPGA应用开发环境。 2、掌握IP核FIFO的使用。 3、熟悉modelsim仿真软件的使用。
开发平台:C/C++ | 大小:390KB | 下载0次
iBeHappy 在 2018-09-28 22:10:33 上传
说明:FIFO管理,包括fifo_push,fifo_pop,fifo_flush等
开发平台:C/C++ | 大小:3KB | 下载9次
detachmentxx 在 2018-09-21 09:25:35 上传
说明:异步fifo实现,通过双口ram实现异步fifo
开发平台:Verilog | 大小:3236KB | 下载8次
yld935086498 在 2018-09-14 18:47:52 上传
说明:用FPGA控制的含fifo的SDRAM程序 总体分为FIFO后的SDRAM控制器顶层文件和SDRAM初始化模块,以及相应的仿真程序
开发平台:Verilog | 大小:334KB | 下载10次
maxw123456789 在 2018-09-09 13:15:27 上传
说明:三种类型的fifo verilog模型,具有应用参考价值
开发平台:Verilog | 大小:1KB | 下载3次
gankl 在 2018-09-06 21:21:15 上传
说明:Verilog HDL实现通用的FIFO的一个demo,可以参考这个程序根据自己的需求更改深度和宽度,以及标志位
开发平台:Quartus II | 大小:4541KB | 下载1次
feishen 在 2018-08-09 11:59:20 上传
说明:使用stm32的串口实现fifo队列缓冲读写数据,值得新手学习
开发平台:C/C++ | 大小:10986KB | 下载101次
陈皮橘子 在 2018-08-01 10:03:04 上传
说明:异步FIFO,12位转16位异步FIFO,用于高速采集FIFO模块
开发平台:Verilog | 大小:1KB | 下载7次
tangshunchen 在 2018-07-15 19:57:07 上传
说明:包含fifo的在vivado16.4的实测代码,仿真验证
开发平台:Verilog | 大小:19492KB | 下载6次
香辣西瓜粉 在 2018-06-11 18:45:20 上传
说明:用verilog实现的uart,包含接收和发送模块,还有测试用fifo
开发平台:Verilog | 大小:7354KB | 下载8次
我欲飞翔 在 2018-06-01 20:05:04 上传
说明:基于ALTERA芯片来驱动IP核FIFO先入先出队列
开发平台:Verilog | 大小:6292KB | 下载1次
Rora 在 2018-05-22 15:37:46 上传
说明:这是一个使用Vivado开发,使用Verilog编程实现,包含testbench和约束文件。
开发平台:Verilog | 大小:903KB | 下载13次
PPPP1234 在 2018-05-22 11:21:48 上传
说明:FIFO资料包含两种不同的设计结构和源代码
开发平台:Verilog | 大小:215KB | 下载1次
PPPP1234 在 2018-05-22 11:18:47 上传
说明:verilog 异步FIFO分模块代码 可综合
开发平台:Verilog | 大小:3KB | 下载14次
二叠好 在 2018-05-17 11:16:21 上传
说明:10_100m_ethernet-fifo_convertor
开发平台:Verilog | 大小:482KB | 下载2次