妮妮lij 在 2019-05-19 14:51:31 上传
说明:设计了一个偏差信号发生器可产生频率偏差及电压偏差
开发平台:LabView | 大小:17KB | 下载2次
bincker 在 2019-04-25 13:27:07 上传
说明:LabVIEW编写的一个信号发生器程序,可选择正弦波、方波、三角波等
开发平台:LabView | 大小:237KB | 下载7次
solace95 在 2019-03-30 18:32:51 上传
说明:基于DDS的任意信号发生器,可输出多种波形,通过按键进行调频和调幅
开发平台:VHDL | 大小:1049KB | 下载5次
6065409 在 2019-03-23 01:17:08 上传
说明:dds信号发生器,可产生正弦信号。锯齿波,梯形波
开发平台:Verilog | 大小:3342KB | 下载7次
galaxy_ 在 2019-03-20 21:56:12 上传
说明:STC89C51单片机控制AD9850,产生任意频率信号
开发平台:C/C++ | 大小:6KB | 下载0次
pop 在 2019-03-04 00:18:42 上传
说明:基于51单片机的低频信号发生器,希望能够帮助到初学者。
开发平台:C/C++ | 大小:80KB | 下载0次
6442222 在 2019-02-26 22:12:10 上传
说明:基于MCS-51单片机的信号发生器设计及其应用系统
开发平台:Visual C++ | 大小:123KB | 下载3次
七夕啊 在 2019-01-05 21:33:20 上传
说明:三角波信号发生器代码,用于课程设计,学生专用
开发平台:C/C++ | 大小:116KB | 下载5次
wzywzy 在 2018-12-05 20:25:02 上传
说明:用AT89c51单片机,设计开发信号发生器,通过protuse仿真设计电路,C51编程实现控制程序。
开发平台:C51 | 大小:62KB | 下载2次
now_meng 在 2018-11-17 19:44:26 上传
说明:基于FPGA的两路的频率可调的正弦信号发生器,verilog语言
开发平台:Verilog | 大小:11378KB | 下载2次
Mola998 在 2018-11-14 00:07:59 上传
说明:基于fpga的信号发生器,使用dds 频率合成生成多种波形
开发平台:Verilog | 大小:6241KB | 下载8次
hheng123 在 2018-11-01 12:44:30 上传
说明:基于fpga信号发生器,采用vhdl语言,基本实现波形控制以及频率控制
开发平台:VHDL | 大小:7630KB | 下载1次
jjjjaaac 在 2018-10-17 15:29:46 上传
说明:实现了一个基于 matlab 的信号发生器,并同时画出了信号的时域表示,自相关函数及功率谱,实现的信号发生器能够产生的信号为: (1) 白噪声 (2) 单位冲激信号 (3) 正弦信号 (4) 方波信号 (5) 三角波信号
开发平台:matlab | 大小:70KB | 下载6次
Kiven168 在 2018-10-07 15:12:54 上传
说明:基于verilog hdl编写的FPGA正弦信号发生器,已测试。
开发平台:Verilog | 大小:301KB | 下载6次
阳仔520 在 2018-08-31 13:08:27 上传
说明:实现了三角波,正弦波的DAC发生,用c8051f020单片机,波形有24个点,用低通滤波便可以将波形便平滑。
开发平台:C/C++ | 大小:211KB | 下载0次
会飞的妖怪 在 2018-08-26 20:12:36 上传
说明:信号发生器,基于labview平台的虚拟仪器,具有输出正弦波方波加入噪声等功能。
开发平台:LabView | 大小:22KB | 下载3次
Rod_L 在 2018-08-07 09:51:55 上传
说明:AD9850DDS模块制作信号发生器程序,使用的是STM32F103ZET6作为控制器,测试程序中输出正弦波频率1000Hz
开发平台:C/C++ | 大小:7085KB | 下载1次
QHZ102814 在 2018-07-18 09:32:46 上传
说明:PWM的低频信号发生器 方波正弦波三角波频率可调
开发平台:C/C++ | 大小:1826KB | 下载2次
水狐好文明 在 2018-07-11 13:42:23 上传
说明:1. 产生三种以上波形。如正弦波、三角波、矩形波等。 2.最大频率不低于 500Hz。并且频率可按一定规律调节,如周期按1T,2T,3T,4T或1T,2T,4T,8T变化。 3.幅度可调,峰峰值在0——5V之间变化。
开发平台:C/C++ | 大小:168KB | 下载0次
独木可成林 在 2018-06-29 10:03:57 上传
说明:可实现正弦波、方波、三角波信号通过Proteus产生和调整
开发平台:Protues | 大小:528KB | 下载4次
秀一 在 2018-06-21 20:13:30 上传
说明:基于AT89C51简易函数发生器,能产生方波,正弦波,锯齿波
开发平台:C/C++ | 大小:65KB | 下载10次
不愿回头 在 2018-06-12 10:53:29 上传
说明:基于Labview设计的一个信号发生器,可以自由选择波形和调节频率幅值,并能够增加噪声
开发平台:LabView | 大小:319KB | 下载7次
茨凸凸凸凸 在 2018-05-23 17:07:55 上传
说明:利用单片机51实现简单的信号发生器,一个模板。
开发平台:C/C++ | 大小:29KB | 下载0次
Lxw521 在 2018-05-22 18:40:39 上传
说明:简单的信号发生,滤波,信号保存,声音信号保存,读取。
开发平台:LabView | 大小:279KB | 下载5次
sun豆 在 2018-05-14 16:42:51 上传
说明:基于MATLAB的三种信号发生器,正弦波方波三角波,可调各参数。
开发平台:matlab | 大小:1434KB | 下载0次
代人 在 2018-05-02 09:40:03 上传
说明:简单信号发生器 51单片机 方波 正弦波 三角波
开发平台:C/C++ | 大小:49KB | 下载4次
6004914 在 2018-03-24 19:50:15 上传
说明:能算出/home/cyf/intelFPGA/De10nano/DAC900E/sin256.mif
开发平台:C++ | 大小:4039KB | 下载2次
唯七 在 2018-03-07 22:17:30 上传
说明:dsp函数信号发生器函数信号发生器设计与DSP实现
开发平台:HFSS | 大小:51KB | 下载5次
ryanshuai 在 2018-02-09 20:07:01 上传
说明:在FPGA实验操作系统实现ASK,FSK,PSK的调制解调,基带信号由M序列发生器产生,经过AD模块在示波器上进行显示,精油DA模块在同一块实验板上进行解调操作,生成信号控制LED灯的亮灭,并与调制输出信号在示波器上同时展示,并进行对比。基带信号为3MHz。
开发平台:Verilog | 大小:47016KB | 下载18次
叶子430525 在 2018-01-09 14:06:17 上传
说明:可根据需求产生信号,制作信号发生器。单独产生wav文件,比较好用
开发平台:C++ Builder | 大小:794KB | 下载1次