st000007st 在 2013-01-10 15:09:54 上传
说明:DA的时序控制 控制在FPGA连接上的DAC产生模拟信号 以及串口程序
开发平台:VHDL | 大小:7KB | 下载18次
linc676085 在 2013-01-07 14:14:36 上传
说明:DAC输出音频声波信号。采用tim6和DMA传输音频数据。
开发平台:C/C++ | 大小:4446KB | 下载195次
QGYing 在 2013-01-04 13:23:01 上传
说明:基于verilog的硬件设计,DAC芯片TLC5620_verilog代码
开发平台:VHDL | 大小:4KB | 下载6次
logicvhdl1 在 2012-12-25 20:45:40 上传
说明:CS4398_dac音频DA转换应用,说明书
开发平台:PDF | 大小:665KB | 下载10次
jiaodazhang 在 2012-12-24 13:27:08 上传
说明:this is the matlab simulink of the 2 order DAC welcome to use it. if you have any question please feel free to let me know
开发平台:matlab | 大小:10KB | 下载20次
hjr_200 在 2012-12-23 19:52:26 上传
说明:DAC,数模转换器是 两阶的,用simulink模块搭的欢迎使用
开发平台:matlab | 大小:10KB | 下载80次
cel89 在 2012-12-12 01:47:28 上传
说明:dac with spi protocol spartan 3e
开发平台:VHDL | 大小:12KB | 下载15次
jinkeyulv 在 2012-12-08 23:01:38 上传
说明:msp430单片机用ADC采样DAC输出的模拟电压数据, 将两个模拟电压值在1602液晶上显示
开发平台:C/C++ | 大小:37KB | 下载19次
jarfld 在 2012-11-27 17:26:06 上传
说明:16位精度多比特DAC算法simulink文件
开发平台:matlab | 大小:15KB | 下载7次
jarfld 在 2012-11-27 17:24:42 上传
说明:16位精度单比特DAC算法simulink文件
开发平台:matlab | 大小:15KB | 下载17次
jarfld 在 2012-11-27 17:21:36 上传
说明:16位精度DAC定点算法matlab程序
开发平台:matlab | 大小:1KB | 下载17次
jarfld 在 2012-11-27 17:20:21 上传
说明:16位精度单比特DAC算法matlab程序
开发平台:matlab | 大小:1KB | 下载10次
longanwen1981 在 2012-11-24 15:54:57 上传
说明:DAC AD5300的verilog驱动,整个工程,亲测好用。
开发平台:VHDL | 大小:413KB | 下载16次
VladimirD70 在 2012-11-19 06:43:34 上传
说明:Load DAC by SPI protocol -- Unit provides serial load of DAC trough SPI 3-wire serial interface -- It sends 24-bit word, format of the word: -- 4-bit command: C3-C0, 4x don t care bits, 12-bit data: d11-d0, 4x don t care bits -- -- Serial interface outputs: -- SDI - Serial Interface Data sent to DAC -- SCK - Serial Interface Clock -- CSLD - Serial Interface Chip Select/Load -- -- DAC word length = 24 bit: -- -------------------------- -- MSB -- 4 bits - DAC command -- 4 bits - don t care values -- 12 bits - "gain" word -- 4 bits - don t care values -- LSB -- -------------------------- -- -- Dependencies: -- -- This unit needs the following files: -- 1) counter.vhd -- 2) SEQUENCE.vhd -- 3) rising.vhd -- 4) falling.vhd -- 5) Dline1.vhd -- 4) useful.vhd -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments:
开发平台:VHDL | 大小:7KB | 下载9次
mrdsp 在 2012-11-05 19:34:36 上传
说明:MSP430的底层代码,包含dma,uart,dac,adc.例子比较多,但都是很简单的,供参考
开发平台:C/C++ | 大小:250KB | 下载5次
yuanzhigang 在 2012-10-11 18:50:06 上传
说明:8051 DAC using a DAC1230.rar8051 DAC using a DAC1230.rar
开发平台:Others | 大小:71KB | 下载6次
国哥 在 2012-10-10 11:38:33 上传
说明:DAC 数模转换程序,对初学DAC编程的人员十分有用.
开发平台:C/C++ | 大小:5KB | 下载8次
lidf 在 2012-08-29 22:24:43 上传
说明:DAC转换器状态机 基于xilinx FPGA
开发平台:VHDL | 大小:446KB | 下载12次
hilem 在 2012-08-23 15:04:22 上传
说明:stm32f4xx DAC_SignalsGeneration
开发平台:C/C++ | 大小:13KB | 下载6次
gaodayu 在 2012-08-15 21:06:43 上传
说明:这个是lpc1768的DA的输出数据,这使用工程模版开发的便于移植!
开发平台:Visual C++ | 大小:104KB | 下载11次
psycho374 在 2012-08-03 13:38:39 上传
说明:Spartan 3E - DAC- VHDL. It is a vhdl code for Xilinx Spartan 3E fpga to run ADC and AMP on the board via SPI interface.
开发平台:VHDL | 大小:154KB | 下载72次
proustli 在 2012-07-30 18:01:40 上传
说明:VHDL code for a sigma delta DAC 8 bit
开发平台:VHDL | 大小:1KB | 下载21次
wxyzxlgxh 在 2012-06-26 13:38:42 上传
说明:STM32,DAC程序,频率和幅度(0~3.24V)可调
开发平台:C/C++ | 大小:3728KB | 下载225次
asdfaaa 在 2012-06-23 01:18:09 上传
说明:MAX5134详细功能操作,16位DAC,多种单片机兼容。
开发平台:Objective-C | 大小:1KB | 下载13次
yxcjhcd 在 2012-06-04 17:09:29 上传
说明:DAC数模转换是单片机学习过程中的实验之一,内容包括输出锯齿波,矩形波,三角波的源代码,以及实验指导书,可以轻松看懂并运用!
开发平台:Visual C++ | 大小:57KB | 下载12次
xijiajian 在 2012-05-30 21:27:12 上传
说明:TMS320F2812DSP芯片DA转换程序
开发平台:C/C++ | 大小:56KB | 下载23次
shumon.luo 在 2012-05-17 10:19:31 上传
说明:做delta-sigma adc and dac 的工具箱
开发平台:matlab | 大小:739KB | 下载21次
hyhhao1000 在 2012-05-04 16:49:28 上传
说明:利用状态机实现对tlc5620dac控制,实验时按key1,可选择DAC的通道,数码管1显示,按key2,key3可 输入8位数/模转换值,由数码管3,4显示,按key4,选择输出电压模式,由数码管8显示,0表示1倍,1表示2倍,按key5,将当前数据发送到DAC模块启动一次DA转换,
开发平台:VHDL | 大小:660KB | 下载4次
小新no蜡笔 在 2012-03-31 14:02:25 上传
说明:DAC_TLC5620测试模块,verilog语言
开发平台:VHDL | 大小:1KB | 下载6次