whukid 在 2008-03-25 21:11:30 上传
说明:来自精益求精的德国人讲授的VERILOG课件,想接触FPGA/CPLD开发的人是必看的课件。
开发平台:VHDL | 大小:4829KB | 下载307次
zhuwl07 在 2008-03-19 18:08:51 上传
说明:xilinx ise 7.1下 实现sparten3 basys板上基于8086FPGA软核的吃豆子游戏
开发平台:Others | 大小:2305KB | 下载175次
horse.wang 在 2007-11-27 13:25:52 上传
说明:开发环境是QUARTUSI,ISE等FPGA开发工具,本问主要描述FGPA开发过程中需要注意的时序
开发平台:matlab | 大小:1475KB | 下载35次
ankee 在 2007-11-14 22:31:10 上传
说明:在文件夹YL2440_CPLD中有做好的CPLD工程,请用Xilinx ISE 6.2打开.
开发平台:Others | 大小:670KB | 下载51次
yugaoshang 在 2007-11-07 15:06:05 上传
说明:ise6.1的中文说明,能够在较短的时间内熟悉ise
开发平台:Others | 大小:806KB | 下载17次
yugaoshang 在 2007-11-07 15:03:59 上传
说明:ise7.1的中文说明,对初次使用者大有帮助,能够在较短时间内掌握ise7.1
开发平台:Others | 大小:271KB | 下载5次
小布丁 在 2007-10-24 09:32:23 上传
说明:本系统使用VHDL语言进行设计,采用自上向下的设计方法。目标器件选用Xilinx公司的FPGA器件,并利用Xilinx ISE 7.1 进行VHDL程序的编译与综合,然后用Modelsim Xilinx Edition 6.1进行功能仿真和时序仿真。
开发平台:MultiPlatform | 大小:291KB | 下载12次
aqiaojiang 在 2007-09-20 14:30:52 上传
说明:xilinx的时序约束实验,通过阅读本文档,你可以用全局时序约束来轻松提高已有的项目的系统时钟频率,同时你还可以用映射后静态时序报告以及布局布线后静态时序报告来分析你的设计性能
开发平台:PDF | 大小:265KB | 下载362次
热心吃瓜群众 在 2007-07-31 17:57:12 上传
说明:华为FPGA设计流程指南,FPGA设计者、项目管理者必读的文档,看看别人是怎么做的。
开发平台:Others | 大小:31KB | 下载492次
sun_yanteng 在 2007-07-24 21:01:01 上传
说明:VHDL Xilinx ISE 9.1i
开发平台:PDF | 大小:1610KB | 下载137次
kingbow 在 2007-07-23 20:06:52 上传
说明:ise的简单使用说明,虽然比较简单,至少能够使你开始编写简单的vhdl程序。
开发平台:Others | 大小:271KB | 下载6次
littleorange 在 2007-07-18 12:05:22 上传
说明:ISE MIG1.6 生成的DDR SDRAM控制器代码(含TESHBENCH)
开发平台:Others | 大小:999KB | 下载81次
asia 在 2007-07-16 11:41:38 上传
说明:用中文介绍Xilinx公司FPGA/CPLD的集成开发环境-ISE软件的简单使用
开发平台:Others | 大小:806KB | 下载127次
xudekai1983 在 2007-06-27 11:12:59 上传
说明:本代码介绍了使用VHDL开发FPGA的一般流程,最终采用了一种基于FPGA的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成,可以在较高速时钟频率(100MHz)下正常工作。该设计的频率计能准确的测量频率在1Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan-II上取得良好测试效果。
开发平台:Others | 大小:503KB | 下载70次
liuxj5502 在 2007-06-15 09:14:04 上传
说明:CCD信号由于其特殊性,一般不能有信号源产生,本程序采用VHDL语言,以ISE为开发平台,产生了模拟CCD信号的数字信号,只需经DA转换便能实现
开发平台:Others | 大小:1060KB | 下载127次
zjlbit 在 2007-05-25 10:01:59 上传
说明:xilinx ise edk8.1注册器
开发平台:MultiPlatform | 大小:64KB | 下载98次
hook2004 在 2007-05-15 17:25:59 上传
说明:采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过
开发平台:Others | 大小:120KB | 下载21次
hook2004 在 2007-05-15 17:12:07 上传
说明:步进电机定位控制系统VHDL程序与仿真波形.已经在xilinx ISE 8.1上验证.完全正确.
开发平台:Others | 大小:5KB | 下载48次
wanglili_2001 在 2007-03-27 00:03:34 上传
说明:这是我用Xilinx公司的sparten3开发板,ISE集成开发环境,用VHDL语言开发的串口全双工通信程序,供大家参考,共同学习。
开发平台:Others | 大小:642KB | 下载81次
何思涵 在 2007-03-16 20:36:03 上传
说明:Xilinx ISE的中文教程,十分易懂,包你学会,当初我就是靠这个学的
开发平台:PPT | 大小:913KB | 下载915次
jihuijie 在 2007-02-10 11:20:06 上传
说明:xilinx培训教程讲义,好几个ppt,讲解十分详细
开发平台:PPT | 大小:12078KB | 下载556次
luguirong419 在 2007-01-24 19:42:01 上传
说明:< FPGA数字电子系统设计与开发实例导航> 一书的代码,FPGA数字电子系统设计与开发实例导航,用硬件描述语言编写的,I2C,UART,USB,VGA,CAN-BUS,网络等等的书籍配套原代码。。。。 使用方法: 1.拷贝到硬盘。 2.用ISE创建项目,分别加入各个代码文件,即可。
开发平台:Others | 大小:1531KB | 下载1483次
macula727 在 2006-11-11 17:40:41 上传
说明:交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.
开发平台:Others | 大小:1497KB | 下载350次
macula727 在 2006-11-11 17:30:47 上传
说明:运算器的实现,即实验指导书中的实验一,文件中包含有原代码及端口设置(可变),用vrilog HDL编程,Xilinx ISE 6仿真,并在实际电路中得到实现.
开发平台:Others | 大小:1563KB | 下载47次
清风淡 在 2006-09-10 09:08:36 上传
说明:基于FPGA的串行通信UART控制器,采用VHDL语言编写,包含多个子模块。 在ISE或FPGA的其它开发环境下新建一个工程,然后将文档中的各个模块程序添加进去,即可运行仿真。源程序已经过本人的仿真验证。
开发平台:WORD | 大小:14KB | 下载428次
天高云淡_27 在 2006-07-24 15:28:39 上传
说明:是介绍ISE的非常好的教程,好资源共同分享
开发平台:PDF | 大小:921KB | 下载13次
sk2400 在 2006-05-27 15:05:03 上传
说明:自己在ISE下用VHDL写的UART,简单,易懂
开发平台:Others | 大小:915KB | 下载57次
二麻子王 在 2006-03-31 13:32:07 上传
说明:Xilinx ISE 官方源代码盘第十章
开发平台:C/C++ | 大小:7322KB | 下载24次