flylcl 在 2012-11-09 19:56:06 上传
说明:Optical network on chip simulator systemc
开发平台:Visual C++ | 大小:36KB | 下载3次
gingkoNoC 在 2012-10-18 06:40:57 上传
说明:这是一本关于SystemC非常基础的书籍,有利于初学者快速掌握SystemC的建模方法和思想。
开发平台:Unix_Linux | 大小:6619KB | 下载9次
steadyboy 在 2012-08-06 20:16:32 上传
说明:MIPS_处理器的SystemC_实现 MIPS_处理器的SystemC_实现
开发平台:C/C++ | 大小:275KB | 下载8次
bendss 在 2012-07-16 09:26:55 上传
说明:USB 1.1 PHY的代码,systemc语言 USB 1.1 PHY的代码,sysstemc语言,包含一种基于systemc语言的testbench ,与相关的doc文档
开发平台:Visual C++ | 大小:190KB | 下载6次
2527051 在 2012-07-10 20:12:12 上传
说明:VMM验证方法学研究,以及它如何用SystemC实现
开发平台:VHDL | 大小:1078KB | 下载6次
2527051 在 2012-07-10 20:11:05 上传
说明:一种基于SystemC的系统级软硬件协同设计新模型
开发平台:DOS | 大小:471KB | 下载2次
2527051 在 2012-07-10 20:09:35 上传
说明:数字专用集成电路设计中的SystemC建模验证方法
开发平台:VHDL | 大小:1377KB | 下载5次
小DO 在 2012-05-29 10:10:35 上传
说明:SystemC primer: SystemC是一种基于C++语言的用于系统设计的计算机语言,是用C++编写的一组库和宏。它是为了提高电子系统设计效率而逐渐发展起来的产物
开发平台:PDF | 大小:5908KB | 下载10次
aisinjuro 在 2012-05-11 13:42:26 上传
说明: SystemC Class Library (Rel. 2.2.0) TLM模擬硬體功能。
开发平台:Visual C++ | 大小:8080KB | 下载8次
jlmm 在 2012-04-20 09:52:43 上传
说明:添加systemC模块实现系统的异步通信代码
开发平台:Visual C++ | 大小:4739KB | 下载4次
jason119 在 2012-03-04 03:03:21 上传
说明:verilog转换为systemc代码,用于RTL到系统建模
开发平台:VHDL | 大小:5KB | 下载7次
3584295 在 2012-02-23 11:45:39 上传
说明:0.最简单的SystemC程序:hello, world. 1.用SystemC实现D触发器的例子,同时也演示了如何生成VCD波形文件。 2.用SystemC实现同步FIFO的例子。这个FIFO是从同文件夹的fifo.v(verilog代码)翻译过来的。 3.如何在SystemC中实现延时(类似verilog中的#time)的例子。 4.SystemC文档《User Guide》中的例子。注意和文挡中稍有不同的是修改了packet.h文件,重载了=和<<操作符。这其实也演示了在sc_signal中如何使用用户自定义的struct。 5.构造函数带参数的例子。 6.轮转仲裁的例子。 7.使用类摸板的例子。 8.如何在模块中包含子模块。 9.SystemC的Transaction级验证示例。 10.如何trace一个数组 11.SystemC中使用测试向量文件输入的例子。 12.SystemC采用UDP/TCP通信的例子。 13.Cadence的ncsc的例子。
开发平台:VHDL | 大小:520KB | 下载14次
hamidbeygi 在 2012-02-15 04:26:49 上传
说明:systemc secoder 2x4 for modelsazi
开发平台:Visual C++ | 大小:12KB | 下载2次
khaleel2588 在 2011-12-13 15:16:33 上传
说明:this is a document on systemc
开发平台:VHDL | 大小:117KB | 下载4次
phantom_zv 在 2011-11-23 21:07:59 上传
说明:基于systemc语言的设计的一个复位控制器
开发平台:Visual C++ | 大小:4289KB | 下载6次
小程序员tim 在 2011-11-23 18:28:30 上传
说明:详细介绍了systemC的安装以及配置过程,按照上面的步骤很轻易地学会使用systemC。
开发平台:Visual C++ | 大小:157KB | 下载27次
smkjadoon2011 在 2011-11-18 04:59:34 上传
说明:network on chip code in systemC.
开发平台:VHDL | 大小:2208KB | 下载25次
pstephen0 在 2011-09-27 21:33:17 上传
说明:The elements come from the necessity of creating generic modules, in the verification phase, for this widely used protocol. These primitives are presented as a not compiled library written in SystemC where interfaces are the core of the library. The definition of interfaces instead of generic modules let the user construct custom modules improving the resources spent during the verification phase as well as easily adapting his own modules to the AMBA 3 AXI protocol. As validation scenario, results obtained for an AXI bus connecting IDCT and other processing resources for MPEG4 video decoding are presented.
开发平台:VHDL | 大小:41KB | 下载40次
the dove 在 2011-09-15 15:58:46 上传
说明:A SystemC Transaction Level Model for the MIPS R3000 Processor
开发平台:Others | 大小:287KB | 下载8次
ashki 在 2011-07-27 12:55:57 上传
说明:SystemC, thesedays, is a good selection for test your design. with reading this file you will learb whatever you need.
开发平台:PDF | 大小:5262KB | 下载4次
roseye 在 2011-07-03 16:23:31 上传
说明:SystemC Verification Standard .pdf
开发平台:VHDL | 大小:513KB | 下载8次
phwforpudn 在 2011-04-27 22:28:58 上传
说明:SystemC的源码,可以采用该文件实现system c仿真
开发平台:C++ | 大小:1825KB | 下载10次
will8888 在 2011-04-26 16:56:59 上传
说明:一个嵌入式方面相关,就是systemc,基于c++语言,
开发平台:Windows_Unix | 大小:2434KB | 下载5次
syf1025 在 2011-04-19 23:11:36 上传
说明:华为内部资料--利用 IP Workbench结合 SystemC构建复杂芯片系统验证平台
开发平台:PDF | 大小:392KB | 下载52次
mane.a 在 2011-04-18 16:45:44 上传
说明:this is a project describing a sc_semaphore written in C++ and refering to the systemC library
开发平台:Visual C++ | 大小:389KB | 下载7次
mane.a 在 2011-04-18 16:41:59 上传
说明:This is a SystemC file. this is written on C++ and call the systemC library. It s a simple examlpe.
开发平台:Visual C++ | 大小:275KB | 下载6次
hdlwriter 在 2011-04-14 12:20:07 上传
说明:学习编写systemC,掌握系统建模的方法
开发平台:VHDL | 大小:5064KB | 下载9次
lukmackul 在 2011-04-14 05:59:07 上传
说明:A SystemC implementation of the CRC algorithm. Compared to many other C-version of CRC, this implementation is much more simple and easier to comprehend, as It is coded in a single, stand-alone file.
开发平台:C++ | 大小:3KB | 下载4次
lukmackul 在 2011-04-14 05:55:21 上传
说明:A systemc implementation of dual port ram module. A vcd file as the sample result is also included. There is a generator for reading/writing data from/to the two ports of the RAM, the tracing of which is offered using the sc_trace API.
开发平台:C++ | 大小:7KB | 下载9次
cvignon 在 2011-03-17 16:49:52 上传
说明:set 3 of exercises tutorial for TLM modeling learning. Explains the basics of systemC TLM modeling fro embedded systems.
开发平台:C++ | 大小:48KB | 下载11次