UART_Download

所属分类:超算/并行计算
开发工具:VHDL
文件大小:9KB
下载次数:254
上传日期:2008-04-22 15:44:30
上 传 者bedford_wang
说明:  此为FPGA上的一个串口通信程序,已经通过仿真测试,完全可行
(This is the FPGA a serial communication program has been tested through simulation, entirely feasible)

文件列表:
parity_verifier.vhd (495, 2008-01-12)
shift_register.vhd (757, 2008-01-13)
switch.vhd (436, 2008-01-12)
switcher_bus.vhd (440, 2008-01-12)
UART.vhd (8303, 2008-04-21)
UART.vwf (14918, 2008-04-21)
uart_core.vhd (6998, 2008-04-21)
uart_package.vhd (1019, 2008-04-22)
add_function.vhd (1806, 2008-04-21)
baudrate_generator.vhd (1081, 2008-01-12)
counter.vhd (643, 2008-01-12)
detector.vhd (608, 2008-01-12)

近期下载者

相关文件


收藏者