SDCard_Controller

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:24KB
下载次数:235
上传日期:2009-05-27 16:02:21
上 传 者twenty_sg
说明:  SD卡控制器IP. 兼容SD卡协议2.0。与wishbone bus 接口,方便与其他IP连接使用。
(SD Card Controller IP. Compatible with SD Card Agreement 2.0. With the wishbone bus interface to facilitate the use of other IP connections.)

文件列表:
verilog\fifo\SD_defines.v (1335, 2009-04-06)
verilog\fifo\smii_rx_fifo.v (3148, 2009-04-06)
verilog\fifo\smii_rx_fifo.v.bak (3037, 2009-04-06)
verilog\fifo\smii_rx_fifo_tb.v (1812, 2009-04-06)
verilog\fifo\smii_tx_fifo.v (1940, 2009-04-06)
verilog\fifo\smii_tx_fifo_tb.v (1816, 2009-04-06)
verilog\SD_Bd.v (4290, 2009-04-06)
verilog\SD_clock_divider.v (643, 2009-04-06)
verilog\SD_cmd_master.v (5622, 2009-04-06)
verilog\SD_cmd_serial_host.v (13226, 2009-04-06)
verilog\SD_controller_top.v (14209, 2009-04-06)
verilog\SD_controller_top_tb.v (7724, 2009-04-06)
verilog\SD_crc_16.v (1434, 2009-04-06)
verilog\SD_crc_7.v (818, 2009-04-06)
verilog\SD_data_host.v (6700, 2009-04-06)
verilog\SD_data_master.v (10891, 2009-04-06)
verilog\SD_defines.v (1335, 2009-04-06)
verilog\SD_FIFO_RX_Filler.v (1628, 2009-04-06)
verilog\SD_FIFO_TX_Filler.v (3662, 2009-04-06)
verilog\waveperl.log (0, 2009-04-18)
verilog\fifo (0, 2009-04-10)
verilog (0, 2009-04-18)

近期下载者

相关文件


收藏者