LED_blinking

所属分类:通讯编程
开发工具:VHDL
文件大小:39KB
下载次数:93
上传日期:2010-01-19 19:24:47
上 传 者neikenwei
说明:  LED灯的控制代码,书籍上的经典案例,好代码
(LED control code)

文件列表:
LED blinking\LED_PIO.vhd.doc (24576, 2010-01-09)
LED blinking\first_nios2_system.vhd.doc (395264, 2010-01-09)
LED blinking\CPU_jtag_debug_module.vhd.doc (45056, 2010-01-09)
LED blinking (0, 2010-01-09)

近期下载者

相关文件


收藏者