jimmy007cs 在 2019-01-25 02:50:31 上传
说明:uvm实例,带dpi-c,vcs,ncverilog.questo
开发平台:Verilog | 大小:8535KB | 下载8次
西麦 在 2017-07-18 22:36:00 上传
说明:UVM 的 入门实例,一个完整的能够跑通的实例。其中包括DUT代码,Testbench代码,
开发平台:Verilog | 大小:2966KB | 下载13次
David_rosen 在 2014-10-26 21:14:11 上传
说明:一份介绍UVM验证方法学的经典实用教程,对UVM的基本语法进行生动地描述,并给出相应的实例讲解,对初学者有很大帮助
开发平台:Others | 大小:5501KB | 下载64次
tangchaodefeng 在 2014-05-23 22:26:52 上传
说明:UVM 的 入门实例,一个完整的能够跑通的实例。其中包括DUT代码,Testbench代码,还有搭建过程说明。很适合用来学习UVM入门。
开发平台:Others | 大小:8175KB | 下载125次
witshine 在 2013-11-15 11:22:42 上传
说明:一个简单的uvm搭建的ahb简单实例,包含了各个组件以及编译的运行的脚本
开发平台:VHDL | 大小:12KB | 下载59次