lileiliu

积分:314
上传文件:3
下载次数:11
注册日期:2008-05-12 09:27:47

上传列表
dct.zip - this si Arithmetic core,it contains FreeDCT-L and FreeDCT-M.FreeDCT-L is a low power architecture 1-Dimensional 8-point DCT/IDCT core.FreeDCT-M is a moderate speed 1-Dimensional IDCT core,2008-05-14 00:36:23,下载33次
atom.2007.12.tar.gz - Cores are generated from Confluence a modern logic design language. Confluence is a simple, yet highly expressive language that compiles into Verilog, VHDL, and C,2008-05-12 10:13:23,下载8次
quantizer.zip - 这个DCT的源代码Core was tested on Digilent S3 board with Spartan Xc3S1000 FPGA,2008-05-12 09:32:24,下载32次

近期下载

收藏