linjunfeng

积分:253
上传文件:1
下载次数:17
注册日期:2011-05-03 22:39:33

上传列表
phase_test.zip - 基于verilog的鉴相器设计,鉴相器是锁相环的一部分,功能是检测两个时钟是否同步,2011-07-29 16:08:45,下载99次

近期下载

收藏