loveluhaidong

积分:398
上传文件:7
下载次数:110
注册日期:2012-04-12 20:21:13

上传列表
8.22taxi-of-vhdl.zip - 出租车计价器VHDL程序与仿真:出租车计价器.,2012-10-16 10:04:08,下载3次
8.9-ASK-of-VHDL.zip - ASK调制VHDL程序及仿真:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制,2012-10-16 10:02:01,下载4次
8.7-TLC7524.zip - TLC7524接口电路程序:产生156.25KHz的正弦波,2012-10-16 10:00:01,下载5次
8.5-TLC5510.zip - TLC5510 VHDL控制程序:基于VHDL语言,实现对高速A/D器件TLC5510控制,2012-10-16 09:58:52,下载4次
8.6-DAC0832-.zip - DAC0832 接口电路程序,产生频率为762.9Hz的锯齿波,2012-10-16 09:57:15,下载4次
8.4-ADC0809-.zip - 基于VHDL语言,实现对ADC0809简单控制,ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 ,2012-10-16 09:55:43,下载5次
8.3-LCD.zip - LCD控制VHDL程序与仿真 功能:FGAD驱动LCD显示中文字符“年”,2012-10-16 09:53:31,下载3次

近期下载

收藏