zui136

积分:204
上传文件:27
下载次数:1283
注册日期:2020-02-23 23:13:18

上传列表
《Python3网络爬虫开发实战代码》.rar - 《Python3网络爬虫开发实战代码》---->mitmtest,2020-06-01 22:13:30,下载4次
mitmappiumjd.rar - 《Python3网络爬虫开发实战代码》---->mitmappiumjd,2020-06-01 22:03:10,下载0次
maoyan.rar - 《Python3网络爬虫开发实战代码》---->maoyan,2020-06-01 22:02:00,下载0次
jiepai.rar - 《Python3网络爬虫开发实战代码》---->jiepai,2020-06-01 22:01:28,下载0次
images360.rar - 《Python3网络爬虫开发实战代码》---->images360,2020-06-01 22:00:24,下载0次
ARM GIC控制器V3.0与V4.0.rar - ARM Generic Interrupt Controller(ARM GIC控制器)V3.0与V4.0,是V2的升级版本,2020-05-19 15:42:24,下载3次
ARM GIC控制器.rar - ARM Generic Interrupt Controller(ARM GIC控制器)V2.0,可以参考,2020-05-19 15:40:25,下载1次
ARM Cortex-A(armV8)编程手册V1.0.rar - ARM Cortex-A(armV8)编程手册V1.0 ,是V7版本的升级版本,可以参考,2020-05-19 15:39:27,下载12次
ARM Cortex-A(armV7)编程手册V4.0.rar - ARM Cortex-A(armV7)编程手册V4.0,很底层,很不错,很强大,2020-05-19 15:38:40,下载24次
ARM ArchitectureReference.rar - ARM ArchitectureReference Manual ARMv7-A and ARMv7-R edition,适合深入研究arm指令的同学,2020-05-19 15:37:50,下载1次
实战训练7 FPGA与PC串口自收发通信.rar - 实战训练7 FPGA与PC串口自收发通信,串口通讯方式,可供参考,2020-04-29 11:16:56,下载1次
实战训练6 16位乘法器芯片设计.rar - 实战训练6 16位乘法器芯片设计,硬件乘法器设计,可以参考,2020-04-29 11:16:12,下载0次
实战训练5 交通灯控制器.rar - 实战训练5 交通灯控制器,fpga版本的控制方法,学习一下,2020-04-29 11:15:29,下载0次
实战训练2 基于verilog按键消抖设计.rar - 实战训练2 基于verilog按键消抖设计,按键消抖的可以参考,2020-04-29 11:14:40,下载1次
实战训练1 跑马灯.zip - 实战训练1 跑马灯,用fpga写的跑马灯,入门可以参考,2020-04-29 11:11:41,下载0次
实战训练1 扩展板跑马灯.zip - 实战训练1 扩展板跑马灯,开发板带的程序,可以供参考。,2020-04-29 11:10:51,下载0次
3 AD6 中从99se现有PCB中创建集成库.rar - 3 AD6 中从99se现有PCB中创建集成库,,使用老的99转ad应该能用,2020-04-15 20:53:26,下载0次
3 AD6 与 99se 导入导出.rar - AD6 与 99se 导入导出,很不错资料哦,希望喜欢,2020-04-15 20:52:34,下载0次
2 AD6 添加查询集成库.rar - AD6 添加查询集成库,介绍的非常详细,不错的资料,2020-04-15 20:51:36,下载0次
1 AD6 创建器件集成化库.rar - 1 AD6 创建器件集成化库,详细介绍了怎么做集成化库,2020-04-15 20:50:35,下载0次
Altium系列教程交互式布线篇.rar - Altium系列教程交互式布线篇 Interactive Routing PCB Editor,PCB设计专用,2020-04-15 20:49:48,下载1次
action_vip_lcm1602.rar - verilog读写lcm1602程序,对显示在屏幕上有帮助,2020-03-29 17:46:12,下载0次
action_vip_ps2.rar - verilog读写ps2的键盘鼠标。。。。希望喜欢,2020-03-29 17:43:36,下载0次
action_vip_sdcard.rar - sd卡读写的verilog版本,对有些人可能有用。。。。。,2020-03-29 17:41:57,下载5次
action_vip_ds18b20.rar - fpga版本的ds18b20的程序,非常实用。。。。。。。,2020-03-29 17:40:40,下载0次
STM32F103C8T6-Board.rar - STM32F103C8T6-Board的硬件原理图,应该有人喜欢,2020-03-29 14:04:57,下载1次
STM32F103C8T6核心板测试程序(RTC).rar - STM32F103C8T6核心板测试程序(RTC)程序,2020-03-29 14:03:23,下载2次

近期下载
雅克比迭代+高斯迭代+SOR迭代法Matlab程序 .zip - 雅克比迭代+高斯迭代+SOR迭代法Matlab程序,同时支持谱半径计算,利于直接比较三种算法
test1.0(6路输出测试完成,初始化card完成)可用.zip - matlab implement an algorithem named BP_Neural_Network
数据拟合.rar - 拉格朗日插值法,matlab的具体实现代码,用于数据拟合
数学规划.rar - 数学规划问题,matlab代码,可用于建模
Q.rar - 16QAM、QPSK的MATLAB调制解调源代码
vec2mat and mat2vec.rar - Functions do to convert matrix to vector and another convert vector to matrix
Gus add noise to dependency matrix function.rar - for simulate random walk model on graph function can using to add Gaussian noise to dependency matrix
tengweitw.zip - 这里包含了Matlab实现的多种插值算法,有拉格朗日插值、艾特肯插值、均差形式的牛顿插值、埃尔米特插值、分段三次埃尔米特插值、二次样条插值
sinc插值matlab.zip - 用sinc插值和最近领域插值完成距离弯曲校正的完整程序和几篇弯曲校正文章
sinc插值程序.zip - 很好地插值函数,可以直接调用,简单易懂,注释清晰。
alexnet.rar - 完全无修改源码,不要用于商业用途,仅作参考,修改网站源码建议保留作者版权,alexnet第一层输入227*227*1。
HCT.rar - different algorithms for bandits solution,ucb1...
LASSO.zip - conjugate gradient in collaboration with Lasso
seawater.zip - matlab seawater 基础程序包
MATLAB小波分析.rar - MATLAB小波分析代码,帮助理解小波分析原理及用法
实验一.zip - 了解MATLAB 程序设计语言的基本特点,熟悉MATLAB软件运行环境 掌握创建、保存、打开m文件及函数的方法 掌握变量等有关概念,具备初步的将一般数学问题转化为对应的计算机模型并进行处理 的能力
算法eg.zip - 模拟退火算法及禁忌搜索算法的matlab源程序
exceedence_correls.zip - exceedance correlation
新建压缩(zipped)文件夹.zip - 图像的截取以及一般处理,例如二值化,加入噪声并且滤波等
Numerical_Computing_With_MATLAB.zip - 用matlab进行数值模拟编程的参考书和源码

收藏