youjblly 在 2008-11-21 09:48:56 上传
说明:周立功的lpc2131的板子 pwm脉宽调制
开发平台:C++ Builder | 大小:89KB | 下载6次
shuichengwen 在 2008-11-18 14:18:48 上传
说明:PWM Verilog源代码,可以通过仿真测试
开发平台:VHDL | 大小:2KB | 下载76次
wmm1234 在 2008-11-16 15:07:31 上传
说明:使用89C52单片机输出PWM的汇编源码
开发平台:Asm | 大小:1KB | 下载250次
no_user 在 2008-11-14 23:13:28 上传
说明:FPGA的avalon总线的接口 pwm测试程序
开发平台:Others | 大小:2594KB | 下载89次
xiaoxiaoheifu 在 2008-11-14 20:48:33 上传
说明:基于Atmel ATMega88+ATA682的应用实例 主要功能包括: 1) PWM输出控制 2) H-Bridge,4 POWER FET 驱动 3) 模拟量比较
开发平台:Others | 大小:19KB | 下载84次
edward1110 在 2008-11-13 02:04:34 上传
说明:NXP LPC2378 PWM Demo
开发平台:C/C++ | 大小:6KB | 下载34次
tongyang2008 在 2008-11-13 01:01:04 上传
说明:PWM是单片机系统重要的控制信号和应用.文件中包含C51单片机,ARM及可逻辑编程处理品的PWM控制的应用.
开发平台:C/C++ | 大小:4842KB | 下载15次
shgj 在 2008-11-12 20:23:36 上传
说明:pmw的有一个例子: PWM 控制 LED 灯渐亮渐灭程序 * 利用定时器控制产生占空比可变的 PWM 波 * 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 * 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 * 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警 * 资源:p0口,8路指示灯。p1.4,p1.5亮度控制按键(端口按键)p3.7小喇叭报警
开发平台:Asm | 大小:1KB | 下载49次
cherishgift 在 2008-11-06 08:40:41 上传
说明:利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警。
开发平台:Others | 大小:1KB | 下载62次
jingyuan01 在 2008-11-03 17:23:15 上传
说明: 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警。
开发平台:C++ | 大小:2876KB | 下载110次
muok@sohu.com 在 2008-11-02 07:49:43 上传
说明:用VHDL编写的PWM控制程序,通过寄存器控制20余路PWM输出;qar是quartus的压缩包格式
开发平台:VHDL | 大小:117KB | 下载90次
1244623 在 2008-10-29 15:15:50 上传
说明:用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!
开发平台:VHDL | 大小:1KB | 下载67次
doublesparks 在 2008-10-27 20:14:07 上传
说明:PWM逆变模型,可以观察逆变波形以及控制信号 波形,适合初学者使用
开发平台:Others | 大小:3KB | 下载117次
XIAOXIAOJIN 在 2008-10-23 19:10:06 上传
说明:实现PWM波型....使用VHDL语言
开发平台:VHDL | 大小:362KB | 下载71次
haitao178 在 2008-10-23 13:34:19 上传
说明:数模转换,控制pwm波形输出,51单片机,C语言。
开发平台:C/C++ | 大小:63KB | 下载76次
hnsq123 在 2008-10-23 09:56:23 上传
说明:ARM7 lpc2100 PWM驱动API,源码有详细注解,根据注解配置寄存器,作到不用翻阅资料就可编程
开发平台:C/C++ | 大小:2KB | 下载43次
jarui77 在 2008-10-23 08:59:44 上传
说明:AVR MEGA128单片机的PWM输出例子程序,可调频率和占空比。
开发平台:C/C++ | 大小:1KB | 下载693次
羽翼渐丰 在 2008-10-21 20:03:45 上传
说明:PWM程序设计,产生PWM波的程序希望大家喜欢
开发平台:C/C++ | 大小:41KB | 下载6次
rouder 在 2008-10-15 03:13:35 上传
说明:在SOPC下制作自定义部件(PWM发生器)的源程序,包括硬件描述HDL文件和驱动程序文件
开发平台:VHDL | 大小:260KB | 下载70次
andy_liu 在 2008-10-14 22:38:24 上传
说明:51系列单片机输出PWM的两种方法
开发平台:Visual C++ | 大小:76KB | 下载174次
yqp07 在 2008-10-11 21:34:55 上传
说明:基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!
开发平台:VHDL | 大小:4KB | 下载199次
根都赤那 在 2008-10-02 21:04:26 上传
说明:1.本文对一种高效的升压式PWM 变换电路作了分析研究 对开关状态的切换效率高 采用双零软开关工作,大大降低了开关损耗, 提 高了电路的转换效率. 2.该电路在高频的开关电源、逆变器等电力电子电路上有很大的实用价值, 可以提高电路的转换效率.
开发平台:PDF | 大小:152KB | 下载125次
kavvie 在 2008-09-29 15:57:06 上传
说明:uCOS移植后的一些应用举例:gpio、pwm、modem、i2c、ppp、spi、uart等
开发平台:C/C++ | 大小:712KB | 下载825次
wenhuawork 在 2008-09-27 20:06:47 上传
说明:基于AT89S52的pwm脉宽调制的C语言编程,可以显示占空比及频率,还有一个数码管显示符点技术C程序
开发平台:C/C++ | 大小:31KB | 下载66次
cc0579 在 2008-09-27 10:07:27 上传
说明:51单片机PWM 控制 LED 灯渐亮渐灭程序 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,LED 灯渐暗. 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警 资源:p0口,8路指示灯。p1.4,p1.5亮度控制按键(端口按键)p3.7小喇叭报警
开发平台:C/C++ | 大小:1KB | 下载309次
fish1512 在 2008-09-21 15:58:56 上传
说明:8051系列应用类打包:AD调速,仿50HZ波形输出,P3口输出控制继电器程序,PWM电机调速,报警,报警防盗,步进电机的控制,电子秒表,电子秒表,航标灯控制程序,频率计等
开发平台:Asm | 大小:12KB | 下载25次
liyoupbc 在 2008-09-20 11:15:54 上传
说明:s3c2410提供了5个16位的Timer(Timer0~Timer4),其中Timer0~Timer3支持Pulse Width Modulation—— PWM(脉宽调制 )。Timer4是一个内部定时器(internal timer),
开发平台:WORD | 大小:5KB | 下载33次
lhelite 在 2008-09-13 20:32:04 上传
说明:DSP的PWM电机控制程序,正反转,默认设置的工作频率1kHz
开发平台:C/C++ | 大小:1KB | 下载57次
easyeasy 在 2008-09-10 15:30:10 上传
说明:• 直接PWM 输出控制马达转速 • PWM 频率可达31kHz • 三种输入型态控制转速:PWM 输入,VR 输入以及热敏电阻输入 • 操作电压:12VDC • 过电流保护 • 霍尔采集器输出控制转速反馈 • 马达转子锁定保护 • 马达转速可调
开发平台:Asm | 大小:2KB | 下载10次
xueling1982 在 2008-09-09 14:57:55 上传
说明:这个SVPWM应该是好的。传一个共享哈!呵呵!
开发平台:matlab | 大小:17KB | 下载52次