牧野星 在 2008-07-08 11:05:52 上传
说明:一个好用的svpwm模型文件,已经经过测试
开发平台:matlab | 大小:14KB | 下载115次
woobo 在 2008-07-07 16:57:56 上传
说明:FPGA 实现基于ISA接口的3路编码器计数,和3路PWM/DA输出 编码器计数包括倍频、鉴相 PWM实现12位分辨率
开发平台:VHDL | 大小:1059KB | 下载118次
qiujunnb 在 2008-07-04 21:17:25 上传
说明:全国智能车大赛电机的PWM调试源代码 可以设置pwm的占空比,来测试电机的最高转速承载能力
开发平台:Others | 大小:199KB | 下载36次
longzhsh 在 2008-07-04 16:50:55 上传
说明:AT91SAM7X系ARM系统开发功能测试程序,如果你着手用AT91SAM来进行系统开发,这是确实是个很好的资料,有了他,会助你一臂之力.本源码是ADS1.2下的源码,用于PWM功能测试
开发平台:C/C++ | 大小:883KB | 下载24次
wahsin 在 2008-07-02 23:18:20 上传
说明:单片机应用 1.1602LCD 2.2004LCD源码 3.ATmega16 PWM源码 4.ATmega8 LED源码 5.无线影音Nrf2401源码 6.AVR串口源码
开发平台:C/C++ | 大小:225KB | 下载504次
小黄java 在 2008-07-01 10:35:16 上传
说明:用飞思卡尔芯片HCS12的PWM功能控制直流电机
开发平台:C/C++ | 大小:206KB | 下载48次
foxchen 在 2008-06-30 16:04:06 上传
说明:DSP2808例程。TMS320F2808DSP的各个模块的应用例程,包括SCI,PWM,AD,CAN,PLL等
开发平台:C/C++ | 大小:2888KB | 下载298次
870127 在 2008-06-29 19:44:53 上传
说明:这个压缩文件夹里有PSCAD 典型实例,新用户可以通过这些例子在短时间内掌握PSCAD的使用。
开发平台:Others | 大小:544KB | 下载150次
460299196 在 2008-06-26 14:58:03 上传
说明:小直流电机转速控制实验 asm程序源码仅供参考学习
开发平台:DOS | 大小:1KB | 下载13次
takeshic 在 2008-06-25 13:04:03 上传
说明:DSP2812-PWM-QEP-LED本程序是通过L298P驱动电机,发生两路PWM,通过GPIO口逻辑控制电机转动方向,QEP电路读取电机转速和方向,定时器中断控制LED,非常适合初学者,每一步都写的非常简单,对照着书很容易就看得懂!
开发平台:C/C++ | 大小:260KB | 下载538次
takeshic 在 2008-06-23 23:21:44 上传
说明:dsp2812驱动l298P控制两路直流电机!另外带跑马灯,对程序进行了详细的注解,代码简单,没有多余,本程序在扳子上正常运行
开发平台:C/C++ | 大小:241KB | 下载207次
luckSimon 在 2008-06-22 13:50:00 上传
说明:AB相编码器解码接口、PWM输出SOPC议案及其在运动控制卡和伺服驱动器中的应用
开发平台:VHDL | 大小:393KB | 下载60次
YuDo 在 2008-06-20 15:28:59 上传
说明:PWM信号产生代码
开发平台:VHDL | 大小:423KB | 下载110次
tomyin 在 2008-06-18 11:00:01 上传
说明:NXP2103 PWM控制程序,可以容易的控制PWM的频率和占空比
开发平台:C/C++ | 大小:1KB | 下载12次
lcrew123 在 2008-06-17 17:33:32 上传
说明:This programme is to control DC motor in a certain speed using PWM. The target speed is "r", it is the speed in 1s. The sample rate is 0.1s, so the actual speed target is "rc"=r/10. The "r" and "rc" are integer, and the range of "r" is from 50 to 100. Keep rc=r/10!!! The array "speed1" and "speed2" are the control result, in 0.1s and 1s. The length of "speed1" is 2400, and "speed2" is 240. The "pw" and "nw" are the parameters of PWM. The test will last 4 min.
开发平台:MultiPlatform | 大小:2KB | 下载6次
ehco 在 2008-06-15 02:58:47 上传
说明:基于高速51单片机的,带示波器功能的频率计原码,还能分析PWM红外编码.液晶显示.
开发平台:C/C++ | 大小:126KB | 下载34次
经纬1974 在 2008-06-14 11:01:47 上传
说明:用VHDL语言写的程序包含如下功能:1.键盘扫描2.控制AD转换3.产生PWM信号与51系列CPU接口,接在51地址数据总线上,单片机通过访问地址总线上的数据寄存器来控制CPLD
开发平台:VHDL | 大小:445KB | 下载50次
koikkoik 在 2008-06-13 02:39:58 上传
说明:space vector pwm inverter by matlab .1
开发平台:matlab | 大小:2KB | 下载385次
catgarfi 在 2008-06-10 13:33:12 上传
说明:开关电源 PWM PFM仿真 SIMULINK
开发平台:matlab | 大小:186KB | 下载322次
28suihuijia 在 2008-06-10 11:34:35 上传
说明:msp430单片机控制步进马达应用源代码
开发平台:Others | 大小:15KB | 下载291次
立日十 在 2008-06-07 10:12:28 上传
说明:这是一个控制电机的小项目,功能:通过五个按键控制PWM的输出,同时在四位数码管的后三位上显示占空比。
开发平台:C/C++ | 大小:1KB | 下载112次
xsh5000 在 2008-06-07 10:03:35 上传
说明:51的PWM,使电机的正反转和快慢转,程序很精简,很容易看懂
开发平台:C/C++ | 大小:27KB | 下载36次
knightc 在 2008-06-06 22:03:52 上传
说明:一些关于svpwm的论文,有仿真的,也有设计逆变器的,大部分是网上收费的
开发平台:matlab | 大小:2524KB | 下载161次
knightc 在 2008-06-06 21:58:21 上传
说明:一个仿真的论文,关于svpwm的异步电动机调速
开发平台:matlab | 大小:274KB | 下载253次
lcolinqq 在 2008-06-06 21:45:56 上传
说明:2407DDB里面有电源,DA转换,AD转换,PWM触发的电路
开发平台:Others | 大小:236KB | 下载111次
1114954 在 2008-06-03 06:48:18 上传
说明:控释电机调速,pwm生成,控释正反转,且可以加速减速
开发平台:C/C++ | 大小:1KB | 下载37次
hzw518 在 2008-06-01 17:50:10 上传
说明:SOPC实验--自定义PWM组件:以带一个Avalon Slave 接口的PWM 组件为例,说明如何自定义组件。,一个Avalon Slave 接口可以有clk、chipselect、address、read、readdata、write 及writedata 等信号,但这些信号都不是必需的。 一、功能 我们要实现的PWM 组件具有以下功能: 1. PWM 的周期可改,用period 寄存器存储; 2. PWM 的占空比可改,用duty 寄存器存储。 二、Avalon Slave 接口信号的设计 1.Clk:为PWM 提供时钟; 2.Write:写信号,可以通过Avalon Slave 总线将period 和duty 值从Nios II 应用程序 传送到组件逻辑中。 3.Writedata:写数据。通过此数据线传送period 和duty 值。 4.Address:本例中有两个寄存器,因此可用一根地址线表示。 5.全局信号。本例中PWM 的输出用来驱动LED 灯显示,这个信号不属于Avalon 接 口信号。
开发平台:VHDL | 大小:6998KB | 下载243次
hzw518 在 2008-06-01 17:47:03 上传
说明:SOPC实验--Hello World实验:启动Quartus II软件,选择File→New Project Wizard,在出现的对话框中填写项目名称 2、 点击Finish,然后选择“是”。选择Assignments→Device,改写各项内容。Family改为CycloneII,根据实验板上的器件选择相应的器件,本实验选择EP2C5T144C8,点击对话框中的Device & Pin Options,在Configuration中,选项Use Configuration Device为EPCS1,选项Unused Pins为As inputs,tri-stated.
开发平台:VHDL | 大小:1429KB | 下载28次
lxf277753506 在 2008-06-01 09:10:24 上传
说明:利用单片机和PWM技术控制直流电动机转速,通过光电传感器测量实际转速,并送入单片机与设定值进行比较,从而达到调速的目的
开发平台:Asm | 大小:7KB | 下载128次
楚燕 在 2008-05-31 19:06:29 上传
说明:PWM 变频调速SIMULINK模型 PWM Control of an Induction Motor
开发平台:matlab | 大小:90KB | 下载581次