sh-1993 在 2022-05-15 11:38:02 上传
说明:帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目
开发平台:Others | 大小:14KB | 下载0次
sh-1993 在 2023-05-25 18:19:53 上传
说明:开源IEEE 802.11 WiFi基带FPGA(芯片)设计:驱动程序、软件
开发平台:C | 大小:20621KB | 下载0次
sh-1993 在 2021-06-27 13:17:47 上传
说明:Scopefun FPGA source code(scopefun 双通道虚拟示波器,FPGA 底层实现)
开发平台:VHDL | 大小:250KB | 下载0次
sh-1993 在 2019-04-23 05:11:50 上传
说明:DNNDK_Fix_Finetune,,
开发平台:Jupyter Notebook | 大小:23414KB | 下载0次
sh-1993 在 2022-11-09 11:32:51 上传
说明:acceleration_firmware_kv260、kv260固件。用于在带有KV260的ROS 2中启用硬件加速功能的软件包。
开发平台:CMake | 大小:31155KB | 下载0次
sh-1993 在 2018-07-31 10:09:04 上传
说明:hwac_object_tracker,FPGA加速TinyYOLO v2对象检测神经网络
开发平台:HTML | 大小:41597KB | 下载0次
sh-1993 在 2019-07-09 14:38:16 上传
说明:用于Xilinx Ultrascale+MPSoC的基于HLS的CHaiDNN深度神经网络加速器库
开发平台:C++ | 大小:219654KB | 下载0次
sh-1993 在 2015-11-16 19:26:47 上传
说明:libxbf,Xilinx Bitstream格式库。从C程序中轻松读取.bit文件。
开发平台:C | 大小:13KB | 下载0次
sh-1993 在 2022-06-20 17:42:56 上传
说明:ise14,EDA工具:Xilinx ISE 14.7 Dockerfile
开发平台:PowerShell | 大小:643KB | 下载0次
sh-1993 在 2023-01-17 14:28:30 上传
说明:研究材料,,
开发平台:Others | 大小:14KB | 下载0次
sh-1993 在 2020-08-15 12:27:44 上传
说明:eda脚本,收集各种脚本以帮助使用eda工具(ASIC、FPGA等)
开发平台:Shell | 大小:193KB | 下载0次
sh-1993 在 2023-04-27 20:00:41 上传
说明:yosys f4pga-plugins,yosys的plugins是作为f4pga项目的一部分开发的。
开发平台:Verilog | 大小:2931KB | 下载0次
sh-1993 在 2023-04-26 15:17:18 上传
说明:edalize,一个用于连接EDA工具的抽象库
开发平台:Python | 大小:557KB | 下载0次
sh-1993 在 2021-05-27 18:33:42 上传
说明:nn训练加速器,使用PyTorch、PYNQ和Ultra96-V2板在边缘进行nn训练
开发平台:HTML | 大小:545KB | 下载0次
sh-1993 在 2023-04-21 17:49:33 上传
说明:简短,brevitas:PyTorch中的量化意识训练
开发平台:Python | 大小:2050KB | 下载0次
sh-1993 在 2022-09-01 03:35:14 上传
说明:License_Plate_Detection_yolov4_KV260,用于AMD上车牌检测的自定义yolov4 Darknet Tensorflow模型-Xilinx Kria KV260 Vision AI入门套件...
开发平台:Shell | 大小:9KB | 下载0次
sh-1993 在 2020-08-15 04:43:20 上传
说明:flower_classification_vai_tf_dataset,该项目展示了如何使用TensorFlow和Vitis AI快速训练模型并将其部署在Xilinx FPGA上。它使用tf.da...
开发平台:C++ | 大小:3031KB | 下载0次
dragon86 在 2021-04-18 18:29:53 上传
说明:xilinx官网axi-4协议master/slave代码(verilog)
开发平台:VHDL | 大小:130KB | 下载0次
zt449569708 在 2021-04-08 15:36:19 上传
说明:xilinx bootgen user guide
开发平台:PDF | 大小:1350KB | 下载0次
CrazyICer 在 2021-03-23 16:25:38 上传
说明:xilinx 使用vitis烧写mcs或者bit的操作步骤,属于入门级别的
开发平台:Verilog | 大小:406KB | 下载0次
6267516 在 2021-03-09 23:19:34 上传
说明:重点描述了基于xilinx芯片的DDR3的相关应用
开发平台:Verilog | 大小:1302KB | 下载1次
打包搬家 在 2021-02-15 10:23:10 上传
说明:zedboard上用Verilog和vivado实现的功能。
开发平台:Verilog | 大小:3438KB | 下载1次
PZzzzz1 在 2021-01-11 22:57:25 上传
说明:美联客XILINX FPGA初级教程,对新手很友好详细,错别字请自行脑补。
开发平台:Verilog | 大小:5745KB | 下载2次
小小小程序鸟 在 2021-01-01 16:01:57 上传
说明:verilog 版本,Xilinx玩转USB3.0,LVDS接口
开发平台:VHDL | 大小:19086KB | 下载5次
TannyParty 在 2020-12-12 22:15:41 上传
说明:PUNE INDIA MAHARASHTRA ENGINEERING STUDENT BSM KHS BHARAT HAPPY SONGS LIKE TY VIT EXED VECTOR DONGRE KALE VEDIC MATHS ABACUS CISK
开发平台:WINDOWS | 大小:32KB | 下载0次
manjeet09 在 2020-12-09 01:12:17 上传
说明:qdma xilinx software and programmer guide
开发平台:C/C++ | 大小:1416KB | 下载0次
pogewas 在 2020-12-08 06:21:44 上传
说明:Xilinx zynq ultrascale+ MPSoC manual
开发平台:PDF | 大小:6190KB | 下载0次
berlinnad 在 2020-11-21 15:18:18 上传
说明:sjalksmkdjs asnxnskxnksn mkNxkjnxxn
开发平台:WINDOWS | 大小:32KB | 下载0次
m.i 在 2020-11-13 00:57:31 上传
说明:a verilog code that shows the character ''A'' on a dot matrix
开发平台:Verilog | 大小:793KB | 下载0次
m.i 在 2020-11-13 00:54:03 上传
说明:verilog code for uart protocol in fpga (with test bench )
开发平台:Verilog | 大小:864KB | 下载0次