wanglili_2001 在 2007-03-27 00:03:34 上传
说明:这是我用Xilinx公司的sparten3开发板,ISE集成开发环境,用VHDL语言开发的串口全双工通信程序,供大家参考,共同学习。
开发平台:Others | 大小:642KB | 下载81次
zxnmsk 在 2007-03-22 09:52:11 上传
说明:为大家上传一个XILINX的SPANTAN3的开发板的结构和资料
开发平台:MultiPlatform | 大小:214KB | 下载43次
何思涵 在 2007-03-16 20:45:10 上传
说明:Xilinx开发板的参考设计 Xilinx开发板的参考设计
开发平台:MultiPlatform | 大小:670KB | 下载63次
何思涵 在 2007-03-16 20:36:03 上传
说明:Xilinx ISE的中文教程,十分易懂,包你学会,当初我就是靠这个学的
开发平台:PPT | 大小:913KB | 下载915次
hubertx 在 2007-03-14 12:39:23 上传
说明:ddr2 controller, verilog source code from xilinx
开发平台:Others | 大小:339KB | 下载549次
cc88888888 在 2007-03-02 16:48:45 上传
说明:xilinx FFT using ip core project navigator
开发平台:Unix_Linux | 大小:1399KB | 下载162次
jihuijie 在 2007-02-10 11:20:06 上传
说明:xilinx培训教程讲义,好几个ppt,讲解十分详细
开发平台:PPT | 大小:12078KB | 下载556次
jihuijie 在 2007-02-10 11:15:39 上传
说明:picoblaze实现交通灯控制的完整工程文件,xilinx fpga实现
开发平台:Others | 大小:58KB | 下载101次
jihuijie 在 2007-02-10 10:56:50 上传
说明:Xilinx的培训教程的源码 virtex
开发平台:Others | 大小:14379KB | 下载67次
woodxp 在 2007-01-29 21:16:13 上传
说明:xilinx的SDRAM控制器的白皮书,很详细的
开发平台:Others | 大小:67KB | 下载28次
真诚的猪猪 在 2007-01-22 13:58:31 上传
说明:XILINX IIC总线设计分析 我的实验报告
开发平台:Others | 大小:323KB | 下载17次
98kg 在 2006-12-27 20:34:31 上传
说明:xilinx的SPARTAN-3E入门开发板实例 根据官方公布的led移动范例改写。 原范例仅提供了源代码、烧写文件以及dos窗口下使用的烧写bat文件。 本实例采用了ise7.1i创建,在ise下重建整个工程,有助于初学者理解使用。
开发平台:Others | 大小:384KB | 下载99次
654049 在 2006-12-19 15:20:57 上传
说明:在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘积-积结构相比,具有并行处理的高效性特点。详细研究了基于FPGA、采用分布式算法实现FIR数字滤波器的原理和方法,并通过Xilinx ISE在Modelsim下进行了仿真。
开发平台:Others | 大小:223KB | 下载102次
qingqingbird 在 2006-11-29 10:25:18 上传
说明:xilinx公司最新芯片spartan_3e的资料
开发平台:PDF | 大小:7085KB | 下载11次
利利1 在 2006-11-24 21:09:00 上传
说明:这是由xilin公司提供的测试文档,对于用XILINX公司的CPLD/FPGA的用户来说挺不错的。
开发平台:MultiPlatform | 大小:192KB | 下载30次
macula727 在 2006-11-11 17:40:41 上传
说明:交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.
开发平台:Others | 大小:1497KB | 下载350次
crazyk 在 2006-10-27 18:10:59 上传
说明:Xilinx FPGA 的IP核,实现FFT功能的
开发平台:Others | 大小:410KB | 下载291次
rxxr002 在 2006-10-26 14:20:04 上传
说明:IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则 asyn_fifo.veo 给出了例化该核方式(或者在 Edit->Language Template->COREGEN 中找到 verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库 的模块,仿真时该文件也要加入工程。
开发平台:Others | 大小:351KB | 下载78次
brian851218 在 2006-10-25 07:27:28 上传
说明:这个是Xilinx编程的源码,是Bristol大二时写的.
开发平台:Others | 大小:14KB | 下载9次
caspar_yu 在 2006-10-21 13:15:15 上传
说明:FM收音机的解码及控制器VHDL语言实现,Xilinx提供的.别谢我.
开发平台:Others | 大小:69KB | 下载357次
zjrbhy 在 2006-10-14 23:26:26 上传
说明:用FPGA模拟VGA时序、模拟PS/2总线的键盘接口VHDL源代码,基于Xilinx spartan3
开发平台:Others | 大小:285KB | 下载129次
wangw8021 在 2006-10-11 16:27:41 上传
说明:xilinx公司三重DES加密代码,内部有用于仿真的文件
开发平台:Others | 大小:13KB | 下载70次
lnlc 在 2006-10-11 11:54:07 上传
说明: 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip
开发平台:Others | 大小:615KB | 下载337次
lnlc 在 2006-10-11 11:50:13 上传
说明:可配置CRC参考设计 xilinx的ip,参考设计文档CRC_xapp562[1].pdf,VHDL语言编写的代码,包含仿真所需文件
开发平台:Others | 大小:200KB | 下载47次
yyou 在 2006-09-07 15:20:59 上传
说明:Xilinx-FPGA器件管脚说明
开发平台:Others | 大小:6KB | 下载84次
管理员 在 2006-09-01 08:15:38 上传
说明:这是一个PDF文档,里面的原理不错,大家可以
开发平台:C++ | 大小:395KB | 下载112次
s_word 在 2006-08-31 12:19:25 上传
说明:Xilinx公司的FPGA下载电路连接原理图,对初学EDA者应该有所帮助。
开发平台:PDF | 大小:46KB | 下载177次