no_user 在 2012-07-10 11:45:10 上传
说明:ASK,PSK,FSK随机信号的产生程程序源码。比较简单易懂实用。
开发平台:Visual C++ | 大小:5KB | 下载5次
obeyoppose 在 2012-07-10 10:31:25 上传
说明:实现信号的调制解调功能,包含各类调制如ASSK(调幅),PSK(调相),FSK(调频)。
开发平台:Visual C++ | 大小:17KB | 下载7次
bing0 在 2011-06-28 15:18:49 上传
说明:2FSK的调制与解调,基于simulink,相干与非相干解调
开发平台:matlab | 大小:399KB | 下载130次
szustu 在 2012-06-18 21:46:55 上传
说明:用Verilog语言实现FSK调制
开发平台:VHDL | 大小:1KB | 下载8次
weikun916 在 2012-06-18 15:29:56 上传
说明:使用modmap函数仿真FSK和MSK调制
开发平台:matlab | 大小:1KB | 下载57次
kani.g1989 在 2012-06-08 20:43:29 上传
说明:FSK modulation and FSK demodulation
开发平台:matlab | 大小:9KB | 下载17次
joyita601 在 2012-06-08 05:08:18 上传
说明:BER with FSK modulation written in MATLAB.
开发平台:matlab | 大小:1KB | 下载8次
joyita601 在 2012-06-08 05:07:41 上传
说明:Its FSK in AWGN channel written in MATLAB.
开发平台:matlab | 大小:1KB | 下载15次
youngjunegx 在 2012-06-04 07:35:46 上传
说明:vhdl实现FSK调制,本次毕业设计的数据速率 1.2kb/s,要求产生一个1.2kHz的正弦信号,对正弦信号每周期取100个采样点,因此要求产生3个时钟信号:1.2kHz(数据速率)、120kHz(产生1.2kHz正弦信号的输入时钟)、240kHz(产生2.4kHz正弦信号的输入时钟)。基准时钟已由一个外部时钟120MHz提供,要得到前面三种时钟,就需要首先设计一个模50的分频器产生240kHz信号,再设计一个二分频器,生产一个120kHz的信号,然后再前面的基础上再设计一个模100的分频器,用来产生1.2kHz的随机信号产生速率。
开发平台:VHDL | 大小:1KB | 下载5次
dryboy13 在 2012-05-27 18:46:07 上传
说明:fsk modulation with matlab
开发平台:PDF | 大小:60KB | 下载9次
joker014 在 2012-05-24 16:13:38 上传
说明:FM调制解调电路的课堂模拟电路图,可以用来作为课堂教学的模板电路
开发平台:LabView | 大小:375KB | 下载59次
090110068 在 2012-05-21 22:35:20 上传
说明:该程序是一个完整的模拟信号数字化传输系统的程序,它进过了PCM编码,2FSK调制解调和一个高斯白噪声,并有误码率的测量
开发平台:matlab | 大小:2KB | 下载31次
asdf12第五期3 在 2012-05-19 10:00:22 上传
说明:基于dsp实现fsk算法的c语言实现方式,内容详细,条理性强
开发平台:Visual C++ | 大小:43KB | 下载9次
Bad Tiger 在 2012-05-18 22:12:32 上传
说明:ASK_FSK_PSK digital signals
开发平台:matlab | 大小:1KB | 下载6次
zhukegood 在 2012-05-18 17:22:07 上传
说明:基于FPGA的FSK数字解调器研究与实现.FSK解调器;FPGA器件;VHDL语言;Matlab;QuartusⅡ仿真
开发平台:VHDL | 大小:6KB | 下载18次
zhukegood 在 2012-05-18 17:04:39 上传
说明:基于FPGA的FSK数字调制器研究与实现.FPGA实现2FSK调制模型
开发平台:VHDL | 大小:7KB | 下载13次
shuliang199057 在 2012-05-16 13:07:42 上传
说明:2FSK调制解调 采用相干和非相干两种解调方式以及误码率图
开发平台:matlab | 大小:1KB | 下载143次
739915505@qq.com 在 2012-05-15 10:54:27 上传
说明:2fsk的调制及加入高斯白噪声后相干解调误码性能
开发平台:matlab | 大小:1KB | 下载20次
a137713 在 2012-05-05 18:18:29 上传
说明:FSK 完整 支持两板间 通信 位同步 帧同步
开发平台:VHDL | 大小:1KB | 下载19次
wangzhuang1223 在 2012-05-02 20:24:35 上传
说明:基于labview的数字调制解调代码源 可以直接下载 可以直接运行程序
开发平台:LabView | 大小:85KB | 下载337次
wodebuding 在 2012-04-28 15:38:38 上传
说明:用ASK进行通信系统的仿真,可以拓展为FSK可直接运行
开发平台:Visual C++ | 大小:2385KB | 下载2次
shaunyeats 在 2012-04-04 10:31:59 上传
说明:用DSP板实现fsk的调制程序,用C语言编写
开发平台:C/C++ | 大小:2KB | 下载24次
yjhyxy 在 2012-04-03 22:32:23 上传
说明:是初学者运用matlab对FSK仿真的好实例,包括2FSK和4FSK
开发平台:matlab | 大小:1KB | 下载46次
cherrylove123 在 2012-03-30 15:51:42 上传
说明:应用 VHDL 对 FSK 实行译码仿真实现,效果理想
开发平台:VHDL | 大小:2KB | 下载6次
cherrylove123 在 2012-03-30 15:45:44 上传
说明:FSK的编码 运用VHDL实现代码仿真
开发平台:VHDL | 大小:1KB | 下载4次
Belindafp 在 2012-03-27 13:03:01 上传
说明:用 matlab 编写的 FSK调制代码及其波形
开发平台:matlab | 大小:1KB | 下载6次
phd_student 在 2012-03-21 05:57:33 上传
说明:Implementation of FSK modulation in DSP: the coefficient file contains coefficients of a filter generated by matlab: Code executable without bugs, and source files are in assembler
开发平台:Asm | 大小:29KB | 下载13次
phd_student 在 2012-03-21 05:54:33 上传
说明:How to implement a, CP-FSK modem in DSP, using Matlab to generate filter
开发平台:WORD | 大小:277KB | 下载4次
love_is_0 在 2012-03-17 20:13:00 上传
说明:本文件内含多个编码,何其有关的文件,例如:HDB3编码,ASK,FSK,CMI,曼彻斯特编码和这些的解码器
开发平台:VHDL | 大小:1135KB | 下载30次
cs4680ok 在 2012-03-11 16:31:03 上传
说明:matlab FSK SIMULINK 调制解调的模板
开发平台:matlab | 大小:9KB | 下载24次