CRRC@CSR 在 2009-09-13 10:05:41 上传
说明:本资料对如何最大限度的使用2812片内ram及不得已使用2812外扩RAM及flash技术进行了极为详尽的剖析,是本人及其它嵌入式前辈的精心之作,隆重推荐!
开发平台:C/C++ | 大小:540KB | 下载95次
xukun_backup 在 2009-09-10 21:17:12 上传
说明:65526ram应用实例 看过即会不看后悔
开发平台:C/C++ | 大小:46KB | 下载92次
pmbao 在 2009-08-25 17:00:21 上传
说明:外部扩展RAM的程序,适合大部分的RAM,有详细注释,且程序简洁,非常适合初学者!!欢迎下载~
开发平台:Visual C++ | 大小:1KB | 下载23次
wuchuanping925 在 2009-08-22 11:08:19 上传
说明:RAM写入16位,读出16位,并且通过计数器控制ram可以实现读入多个数据
开发平台:VHDL | 大小:2KB | 下载100次
wuchuanping925 在 2009-08-22 10:55:48 上传
说明:通过计数器控制RAM的地址,就可以顺序往RAM中写数,读数
开发平台:VHDL | 大小:2KB | 下载3次
w842702 在 2009-08-13 16:31:14 上传
说明:FPGA控制双口RAM、实现TLC5510采样控制双口RAM读写!QUARTUS II8.0平台仿真验证通过,并在硬件上运行通过测试!
开发平台:VHDL | 大小:3359KB | 下载238次
w842702 在 2009-08-11 15:53:44 上传
说明:双口RAM控制时序仿真 双口RAM控制时序仿真 双口RAM控制时序仿真
开发平台:VHDL | 大小:1319KB | 下载115次
iwind201860 在 2009-07-31 15:28:09 上传
说明:直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。
开发平台:VHDL | 大小:460KB | 下载86次
cslr 在 2009-07-29 17:57:57 上传
说明:VHDL语言编写的DDR RAM控制器的源码。
开发平台:VHDL | 大小:667KB | 下载12次
SMILE001001001 在 2009-07-24 14:42:39 上传
说明:FIFO RAM 存储器以FIFO形式进行的读取
开发平台:VHDL | 大小:324KB | 下载9次
nonlz 在 2009-07-13 22:27:20 上传
说明:KEIL的μVision2版本,采用PHILIPS公司的P89V51单片机,32k的RAM:HM62256,段表不同类型的单片机以及接法不同需要重新修改,串口读取10个数据到RAM并数码管显示
开发平台:C/C++ | 大小:19KB | 下载37次
ecooly 在 2009-07-09 15:09:43 上传
说明:Keil MDK RL-ARM 实时库用户指南 中文版 包括以下内容 RL-RTX 描述了RL-ARM实时操作系统的结构,包括任务优先级、上下文切换、单个CPU下如何管理多个任务以及在RL-RTX中用到的其他一些 RTOS特性。 RL-FlashFS 描述了 RL-ARM中Flash文件系统的结构,包括文件创建、保存、读取以及在标准的flash,RAM或是存储卡设备中修改文件。 RL-TCPnet 描述了RL-ARM中TCP/IP协议栈组的结构,包括多种TCP/IP特性,以及帮助你创建可以联网的嵌入式运用程序。 RL-CAN 描述了RL-ARM中CAN设备的结构,包括如何采用RTX内核建立CAN运用程序。 Example Programs 列举了一些RL-ARM例程以及描述如何对其进行测试。 Library Reference 描述了在 RL-ARM所有的库程序。 Appendix 包含 µ Vision® 调试对话框,以及术语表。
开发平台:Others | 大小:1589KB | 下载1372次
Yoni78 在 2009-07-09 00:58:16 上传
说明:VHDL Ram interface which devaloped for 256K ram
开发平台:VHDL | 大小:34KB | 下载6次
woai161161 在 2009-07-05 16:50:00 上传
说明:用VHDL编写一个字长16位,容量128B的RAM控制实现程序,并进行设计综合和功能模拟 。含源程序,及实验要求。适合初学者学习使用。
开发平台:VHDL | 大小:9KB | 下载287次
kekepinger 在 2009-06-07 18:55:24 上传
说明:利用8051单片机、常用数字逻辑接口器件、ROM、RAM存储器器件、单总线数字温度传感器DS18B20,设计一种基于单片机的数字温度计,温度检测范围为0-90度,要求能够通过键盘设定温度超限报警值,通过LED或LCD显示当前温度值。
开发平台:C++ | 大小:229KB | 下载47次
zitkon 在 2009-06-05 16:54:56 上传
说明:RAM design for FPGA in verilog
开发平台:Others | 大小:283KB | 下载53次
hkche 在 2009-05-31 21:25:13 上传
说明:这是用51单片机将数据写进ram62256中的程序+proteus仿真,另外还用8位led同时宣示八位二进制数!希望能够对单片机爱好者有所帮助!
开发平台:Others | 大小:42KB | 下载176次
sayimailss 在 2009-05-31 20:28:02 上传
说明: 怎样调用IP核设计RAM,,非常简单的设计
开发平台:Visual C++ | 大小:300KB | 下载294次
joelmir 在 2009-05-22 07:01:08 上传
说明:The objective of this project is to create a driver for a camera module (we used the OV7620). After taking the image with the camera, the driver will store into the external asynchronous RAM, and then send it to the computer through a serial cable
开发平台:Windows_Unix | 大小:5KB | 下载19次
cyr 在 2009-05-20 16:45:18 上传
说明:FPGA设计的指导原则,包括介绍几个常用模块:RAM,FIFO等。
开发平台:VHDL | 大小:2086KB | 下载134次
luomudong 在 2009-05-19 21:38:26 上传
说明:双口RAM的verilog描述 双口RAM的verilog描述
开发平台:WORD | 大小:7KB | 下载86次
kongde2009 在 2009-05-15 19:29:06 上传
说明:ram的硬件描述 使用VHDL语言 注释也十分详细 想要的赶紧下载吧
开发平台:VHDL | 大小:2KB | 下载40次
ponder2002 在 2009-04-21 09:19:10 上传
说明:RAm的 verilog描述,在Quartus中验证正确,可根据程序改成其他参数
开发平台:VHDL | 大小:2KB | 下载90次
cheche85 在 2009-04-17 17:32:54 上传
说明:基于altera ep2c8双口RAM
开发平台:VHDL | 大小:864KB | 下载276次
sunrainhh 在 2009-04-08 21:34:20 上传
说明:RAM控制的VHDL实现 真的很有用真的很有用
开发平台:VHDL | 大小:2KB | 下载10次
sunrainhh 在 2009-04-08 21:29:39 上传
说明:RAM读写控制器,用verilog实现的简单易懂的RAMROMsram控制核
开发平台:VHDL | 大小:3KB | 下载183次
tim1437 在 2009-04-04 20:36:29 上传
说明:实现双口RAM映射到DSP地址单元空间中,使得双口RAM直接读取DSP中的数据或程序。
开发平台:C/C++ | 大小:2KB | 下载190次
kay54068 在 2009-04-01 00:14:34 上传
说明:一些设用vhdl设计ram的资料,请下载看看吧
开发平台:VHDL | 大小:18KB | 下载26次
he_he 在 2009-03-30 22:36:02 上传
说明:用matlab产生mif文件。(Altera的EDA软件,如maxplus,quartus等用到的初始化rom,ram等的文件格式)
开发平台:matlab | 大小:1KB | 下载131次
zdhianng 在 2009-03-28 17:38:40 上传
说明:这样就可以在FPGA内实现双口RAM了...
开发平台:VHDL | 大小:4KB | 下载145次