tkalpha 在 2018-05-19 12:40:48 上传
说明:基于MC9S12XS128平台开发的无线遥控计时器。支持最大30天的计时长度。支持无线遥控实现暂停、复位、清零、记录翻查等功能。支持12864串口或者液晶屏幕的界面显示。
开发平台:C/C++ | 大小:247KB | 下载0次
VVDD 在 2018-04-16 17:48:44 上传
说明:定义LX6系列针脚,并实现计时器,最大可以数到60min,局限于只有四个tube
开发平台:digsilent | 大小:375KB | 下载1次
任烁 在 2018-04-08 16:46:37 上传
说明:篮球比赛计时,程序仿真。主要实现的功能就是篮球比赛实现积分功能
开发平台:Objective-C | 大小:395KB | 下载2次
cjinshu 在 2018-03-25 17:43:04 上传
说明:桌面时钟显示(包含计时器和倒计时),密码当前时间的小时和分钟(如8:00:00 则密码为 0800)
开发平台:Visual Basic.NET | 大小:100KB | 下载2次
洛兰 在 2018-02-27 17:03:39 上传
说明:实现倒计时,秒表,计时器功能。带有开始/暂停按钮和重置按钮。使用了lable,button,timer以及简单的if判断。
开发平台:C# | 大小:1430KB | 下载2次
maooooooge 在 2018-02-13 14:35:43 上传
说明:基于c51单片机的四位数计数器,有复位功能
开发平台:Asm | 大小:63KB | 下载1次
sqxiwei 在 2018-02-11 12:58:07 上传
说明:用于30秒倒计时,arduino编程实现。arduino是创客常用的编程平台,mixly采用模块化编程,简单方便。
开发平台:Arduino | 大小:8KB | 下载6次
VoidShooter 在 2018-01-30 22:34:09 上传
说明:利用Verilog实现数字秒表(基本逻辑设计分频器练习) 设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。
开发平台:Verilog | 大小:1KB | 下载14次
小恢恢恢哥 在 2018-01-23 22:47:15 上传
说明:实现自动计时,自动停止,100s内的及时可选择
开发平台:C# | 大小:56KB | 下载2次
枫叶。。 在 2018-01-16 17:28:46 上传
说明:采用定时器/计数器T0对外部脉冲进行计数,每计数100个脉冲后,T0转为定时工作方式。定时1ms后,又转为计数方式,如此循环不止。假定MCS-51单片机的晶体振荡器的频率为6MHz,请使用方式1实现
开发平台:Visual C++ | 大小:10KB | 下载2次
liccc 在 2017-12-22 09:42:08 上传
说明:实现基本的计时器功能,其中使用了Java语言来实现
开发平台:Java | 大小:1KB | 下载3次
东看看哦 在 2017-12-20 16:04:38 上传
说明:实现计时器功能,用java编写,也有不足之处,请多多指教。。。
开发平台:Visual C++ | 大小:27KB | 下载4次
mjv93 在 2017-12-19 21:58:53 上传
说明:可实现倒计时1分钟,最后一秒倒计时时间到蜂鸣器响
开发平台:C/C++ | 大小:11KB | 下载3次
匹配 在 2017-12-19 19:33:17 上传
说明:1具有显示24秒计时功能; 2设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能; 3计时器为24秒递减计时,计时间隔为1秒; 计时器递减计时到零时,数码显示器不能灭灯,同时发出报警信号
开发平台:WINDOWS | 大小:3196KB | 下载3次
FireEWong 在 2017-11-25 20:18:15 上传
说明:倒计时器,时间到了会播放指定音乐,播放时调用BASS.DLL
开发平台:Delphi | 大小:3826KB | 下载2次
小仙女。 在 2017-11-21 09:25:42 上传
说明:这是关于定时器计数器的综合使用的单片机源程序
开发平台:Visual C++ | 大小:23KB | 下载1次
Oscar1988 在 2017-10-20 21:51:43 上传
说明:这是一个教学用的,用VB进行编程的计时器小程序,适合课堂教学使用。
开发平台:Visual Basic | 大小:3KB | 下载1次
几颗心颤抖 在 2017-09-15 08:42:58 上传
说明:计时器,可以倒计时,也可以整张的计时,在其他里边编程实现,也可以调速
开发平台:QT | 大小:4KB | 下载1次
琳q-q 在 2017-09-11 10:10:20 上传
说明:倒计时器,用于倒计时,操作简单,一个小型的倒计时器
开发平台:Visual Basic | 大小:8KB | 下载1次
akg科技电子 在 2017-08-11 02:34:58 上传
说明:利用51单片机加红外对射管实现一个飞思卡尔智能车定时器。定时精准。
开发平台:C/C++ | 大小:23KB | 下载2次
zhaoren 在 2017-08-02 21:32:46 上传
说明:利用protues 软件 基于51单片机平台 设计计时器 采用软件延时方式,内含说明文档全套工程文件,初学者福音
开发平台:Proteus | 大小:64KB | 下载2次
a13521380296 在 2017-06-22 18:09:27 上传
说明:计时器+质数判定,MS-DOS窗口,64位可执行文件+源代码
开发平台:C++ | 大小:1376KB | 下载1次
不要香菜谢谢 在 2017-06-16 15:57:20 上传
说明:模拟秒表计时。基于ARM860系统开发的主函数部分。
开发平台:C/C++ | 大小:3KB | 下载1次
mr..right 在 2017-06-15 08:07:07 上传
说明:利用MATLAB中的stateflow功能,设计一款跑步计时器,对运动员的跑步时间进行计时。
开发平台:matlab | 大小:19KB | 下载12次
anbao 在 2017-06-03 21:21:50 上传
说明:1 基础部分: (1) 用51的p1口作为数据输出口,p2口为共阴数码管位选信号,利用定时器0实现秒计时和显示。 (2) 上电复位后,显示00。 (3) 按键按下S2键后开始计数。采用加法计数形式。计到60停止。蜂鸣器鸣响。 (4) 鸣响2秒后停止,恢复显示00。 (5) 当再次按下S2键后重复1-4过程。 2 提高部分: 利用S2,S4,S6完成进入设置;进行数字十位和个位设置;存储,启动等动作; 工作过程如下: (1)第一次按下S6,进入十位数设置;此时,每按下S4一次,十位数码管显示加1,从0至9滚动显示; (2)第二次按下S6,十位显示固定在当前值;进入个位数设置;此时,每按下S4一次,个位数码管显示加1,从0至9滚动显示; (3)第三次按下S6,十位和个位显示数值固定; (4)设置完成后按下S2,数码管显示00;系统从0计时,当计到设置值时,蜂鸣器响一秒钟; (5)蜂鸣器停止后,系统显示设置值,再次按下S2可以重新开始计时;或不按下S2,按下S6则重复(1)至(4)过程。 (注:本试验可以采用两种方法来做:1软件计数法,2定时中断法)
开发平台:C/C++ | 大小:257KB | 下载4次
MPGL 在 2017-05-21 16:11:32 上传
说明:4人抢答器,计时器和抢答器综合,开始抢答时,计时器从20s开始倒计时,如果无人抢答,计时器到0时报警器响3s,有人抢答,数码管会显示第几人抢答。
开发平台:VHDL | 大小:3320KB | 下载3次
小智粑粑 在 2017-05-09 18:14:06 上传
说明:ms计时器,按下按键数码管开始计时,放开按键停止计时,实时显示
开发平台:Visual C++ | 大小:23KB | 下载1次
cc,wei 在 2017-04-12 11:40:45 上传
说明:基于51单片机的计时器,实现60s正计时倒计时
开发平台:C51 | 大小:8KB | 下载22次
猫儿有点困 在 2016-12-05 19:57:07 上传
说明:基于VHDL的数字计时器,手动可控正计时和倒计时(含复位键和使能键)
开发平台:VHDL | 大小:1070KB | 下载3次