hyy_oo 在 2016-05-15 17:01:41 上传
说明:计时器数码管做到60s计数,外接键盘按键暂停
开发平台:VHDL | 大小:772KB | 下载4次
zwy1516 在 2015-10-18 14:45:37 上传
说明:89c51单片机编程30秒倒计时程序,利用计时器中断实现
开发平台:C/C++ | 大小:43KB | 下载2次
MoonHu0509 在 2015-08-31 11:14:52 上传
说明:计时器,实现时钟计时
开发平台:Visual C++ | 大小:7KB | 下载4次
lenovoesz 在 2015-08-10 15:30:11 上传
说明: 时间 计时器源码 时间 计时器源码
开发平台:Visual C++ | 大小:6159KB | 下载3次
菲阳 在 2015-07-07 14:05:46 上传
说明:C#中各种计时器:使用 Stopwatch 类;基于 Windows 的标准计时器;基于服务器的计时器;线程计时器;System.Environment.TickCount;使用TimeSpan类。
开发平台:C# | 大小:14KB | 下载4次
gengfire 在 2015-07-01 12:12:25 上传
说明:原生js倒计时插件,指定标签插入,自动校准服务器时间
开发平台:JavaScript | 大小:1KB | 下载2次
bellaaa 在 2015-04-19 10:43:25 上传
说明:利用quatars,vhdl实现有倒计时功能计时器,设计定时器功能有正向计时和倒向计时,可暂停计数,继续计数。当倒向计时计数为0时会报警(时间为1分钟)在报警期间可以认为关闭
开发平台:VHDL | 大小:1294KB | 下载6次
dkch 在 2014-12-10 14:29:29 上传
说明:一个精确到微妙的计时器程序。可实现高精度计时。
开发平台:Delphi | 大小:133KB | 下载1次
myhzdh@163.com 在 2014-11-19 23:44:08 上传
说明: 这个脚本提供了一个基本的框架来处理视频数据在一个相对快速的循环。它使用基本功能的视频采集的工具箱,特别是peekdata()函数。提供一个计时器来估计循环频率。
开发平台:matlab | 大小:2KB | 下载7次
ZLing_zengling 在 2014-06-26 17:29:44 上传
说明:简单的数码管计时器,功能简洁,界面美观大方。计时完整有效
开发平台:C# | 大小:62KB | 下载3次
zhangyunfei588 在 2014-05-23 22:43:23 上传
说明:一个简单的基于toc,tic的秒表计时器。可以实现重置,暂停和退出的功能
开发平台:matlab | 大小:1KB | 下载7次
webbendan007 在 2014-05-01 17:49:32 上传
说明:数码管计时器数码管计时器数码管计时器数码管计时器数码管计时器
开发平台:C/C++ | 大小:1KB | 下载3次
Wada 在 2014-03-19 11:08:08 上传
说明:基于verilog xilinx spartan 3e100的秒表计时器
开发平台:VHDL | 大小:202KB | 下载3次
Mat_M 在 2014-03-08 12:17:05 上传
说明:matlab中计时器的建立依据 stateflow的基本原理知识 一起学习
开发平台:matlab | 大小:667KB | 下载7次
Mat_M 在 2014-03-08 12:14:57 上传
说明:matlab中stateflow的一个例子 关于计时器的应用 希望一起学习
开发平台:matlab | 大小:10KB | 下载35次
sisiweiweisv 在 2014-02-24 15:50:09 上传
说明:基于VHDL的60S倒计时设计,附带数码管显示,倒计时完成后蜂鸣器报警
开发平台:VHDL | 大小:1KB | 下载10次
tiny_liang 在 2013-12-18 15:27:32 上传
说明:FPGA片上运动计时器实现,使用数码管显示计时,包含暂停与重置
开发平台:VHDL | 大小:487KB | 下载4次
Jayvic 在 2013-12-10 20:14:38 上传
说明:Win32下汇编(Masm)新手小程序,计时器Timer的使用实例
开发平台:Asm | 大小:5KB | 下载7次
qianhaoq 在 2013-11-29 22:41:18 上传
说明:这是一个用汇编语言实现的计时器程序,能够从毫秒计时到小时,按S开始计时
开发平台:Asm | 大小:5KB | 下载1次
fengziboboy 在 2013-10-15 15:12:37 上传
说明:最新竞赛抢答器,适合初学者使用,实现10秒倒计时功能
开发平台:Asm | 大小:2KB | 下载1次
咸菜gis 在 2013-06-01 17:43:38 上传
说明:小小的计时器,实现计时。一个文本框,开始计时,停止,重置。
开发平台:C# | 大小:55KB | 下载8次
fantao 在 2013-05-04 18:33:47 上传
说明:简单的计时器,有倒计时和正计时功能,代码简单。
开发平台:C# | 大小:297KB | 下载3次
maleilong 在 2013-04-25 17:31:47 上传
说明:计时器 窗口控件样式计时器 开始 暂定 停止    
开发平台:C# | 大小:68KB | 下载11次
tanghuijingjing 在 2013-03-16 18:33:49 上传
说明:这是一个非常简单的计时器,按下计时开始按钮即可计时
开发平台:Java | 大小:1KB | 下载2次
hnhyzql1994 在 2013-03-15 21:24:26 上传
说明:1602计时器,基于单片机STC89C52RC
开发平台:C/C++ | 大小:1KB | 下载5次
s_xiayu 在 2013-01-12 22:01:21 上传
说明:智能小车分圈计时器的设计。利用STM32为核心处理器,以红外对管检测外界物体,触发外部中断启动计时,利用内部定时器中断实现精确到小数点后两位的计时,并通过LED显示出当前的时间。
开发平台:C/C++ | 大小:317KB | 下载48次
hermosa1213 在 2013-01-11 10:36:06 上传
说明:计时器,利用java开发,以applet方式展现一个计时器的界面,完成记时。
开发平台:Java | 大小:5KB | 下载8次
JanePLL 在 2012-12-20 21:03:18 上传
说明:1)具有30秒计时显示功能 2)设置外部操作开关,控制计时器的直接清零,装数,启动和暂停、连续功能 3)30秒倒计时,其计时间隔为1秒 4)计时器递减计时到零时显示器不能灭灯,同时发出报警信号; 5)能接触警报信号。
开发平台:VHDL | 大小:340KB | 下载6次
天空无羽 在 2012-11-28 17:48:55 上传
说明:可以作为赛车比赛计时器,1602显示,串口发送时间
开发平台:C/C++ | 大小:119KB | 下载9次
FFR_Michael 在 2012-11-13 10:55:34 上传
说明:篮球24秒计时器,实现24秒计时,每到24秒蜂鸣器报警
开发平台:VHDL | 大小:6KB | 下载8次