zx12346 在 2018-06-18 10:23:22 上传
说明:NRF24L01 spi 发送模块程序
开发平台:C/C++ | 大小:2061KB | 下载1次
邹良辰 在 2018-06-14 16:55:46 上传
说明:spi 刷吗管显示0-f,仿真电路编码从程序等都有的哈哈哈哈或或或
开发平台:ABAP | 大小:152KB | 下载1次
jore 在 2018-06-12 19:28:07 上传
说明:linux SPI用户态下的编程示例,测试可用。
开发平台:C/C++ | 大小:1487KB | 下载17次
LL19950527 在 2018-06-11 13:23:59 上传
说明:用于计算干旱指数中的标准化降水指数,注意的是当计算月尺度以上的尺度时前12个月会被移除
开发平台:matlab | 大小:28KB | 下载20次
liu_fpga 在 2018-06-04 11:46:26 上传
说明:SPI主端verilog程序,参数可配置。
开发平台:Verilog | 大小:2KB | 下载17次
宫大头 在 2018-06-04 10:45:44 上传
说明:可直接应用于16位PIC单片机的串行SPI通信
开发平台:C/C++ | 大小:100KB | 下载0次
杨过321 在 2018-05-30 17:41:10 上传
说明:基于STM32系列STM32F103ZET6的SPI程序源码
开发平台:C/C++ | 大小:245KB | 下载0次
慈仨 在 2018-05-30 14:16:37 上传
说明:STM32平台下的TM1629芯片驱动,使用gpio模拟
开发平台:C/C++ | 大小:4077KB | 下载29次
xiaohaihai 在 2018-05-25 23:50:31 上传
说明:STM32通信不仅可以使用串口、IIC那些,也可以使用SPI,SPI跟IIC有点像。
开发平台:C/C++ | 大小:4699KB | 下载4次
小龙。。 在 2018-05-24 15:53:40 上传
说明:SPI接口代码实现,有需要的可以自行下载
开发平台:Verilog | 大小:1KB | 下载2次
Zgd007 在 2018-05-22 23:20:02 上传
说明:用Verilog写的SPI驱动,测试过可以正常通讯。测试的FPGA使用的是EP4CE15F23.供参考。如果使用中有问题,可以联系我。
开发平台:Quartus II | 大小:5317KB | 下载3次
shugenyin 在 2018-05-22 21:39:24 上传
说明:SD的读写测试,verilog hdl实现,SD卡的接口为SPI。
开发平台:Verilog | 大小:8103KB | 下载7次
丿心随风飞 在 2018-05-22 10:36:16 上传
说明:使用c编写的关于mlx90393的驱动。是spi或者i2c接口的。 以及spec和application note资料。
开发平台:C/C++ | 大小:2397KB | 下载31次
韓尛威 在 2018-05-22 10:21:28 上传
说明:NXP k25系列SPI的DMA传输方式的驱动程序例程
开发平台:C/C++ | 大小:1302KB | 下载4次
youshuai423 在 2018-05-17 09:05:41 上传
说明:从外部传输1-9的数字给FPGA,处理后由数码管显示出来
开发平台:Verilog | 大小:3101KB | 下载0次
Xiaobai12 在 2018-05-16 19:53:32 上传
说明:使用DMA模式对SPI进行单线只接收模式
开发平台:C/C++ | 大小:6109KB | 下载3次
战场原荡漾 在 2018-05-16 08:56:23 上传
说明:407vet6平台硬件spi驱动ips-lcd屏幕
开发平台:C/C++ | 大小:4244KB | 下载1次
dhjimr 在 2018-05-13 08:15:40 上传
说明:Verilog step - motor spi
开发平台:Verilog | 大小:1569KB | 下载2次
不想长大 在 2018-05-07 21:31:39 上传
说明:使用verilog语言编写SPI接口驱动含仿真代码
开发平台:Verilog | 大小:5667KB | 下载11次
说给自己听 在 2018-05-02 20:55:51 上传
说明:基于wishbone总线的SPI主设备代码
开发平台:Verilog | 大小:242KB | 下载2次
Scofield_www 在 2018-04-30 02:32:25 上传
说明:STM32F103 SPI通讯实例W25Q64
开发平台:C/C++ | 大小:3727KB | 下载23次
adv6 在 2018-04-28 18:39:43 上传
说明:采用spi模拟ws2812时序,stm32F4采用dma传送数据,库文件为stm32标准库
开发平台:C/C++ | 大小:2KB | 下载43次
ngtranminhtuan 在 2018-04-28 17:01:07 上传
说明:SPI source code for STM32F103
开发平台:MDK | 大小:1KB | 下载3次
陈叁肆 在 2018-04-26 11:34:18 上传
说明:STM32F407VET6 实现 SPI
开发平台:C/C++ | 大小:983KB | 下载6次
farmandehrabiee 在 2018-04-24 14:33:16 上传
说明:matlab code for communication
开发平台:matlab | 大小:2KB | 下载1次
farmandehrabiee 在 2018-04-24 14:31:30 上传
说明:matlab code for pulse code modulation
开发平台:matlab | 大小:5KB | 下载1次
`m 在 2018-04-20 22:07:27 上传
说明:verilog 实现spi 串口 通过FPGA板可以看出数据传输
开发平台:Verilog | 大小:3936KB | 下载3次
woshishui111 在 2018-04-19 10:41:31 上传
说明:用DS1302和LCD做的万年历,SPI总线
开发平台:C51 | 大小:47KB | 下载1次
你到底是谁 在 2018-04-18 10:21:19 上传
说明:用verilog编写的SPI代码,这个代码是FPGA作为主机可以发送和读取数据,上板验证过,我测试的时候SPI的CLK速率是5M,读写都没问题,稳,至于更高的速率没测试过。 下面鬼畜的百度翻译大家就不要看了,我不知道他想表达啥意思~
开发平台:Verilog | 大小:1KB | 下载24次
呵呵呵呵520 在 2018-04-17 14:52:46 上传
说明:用SPI协议进行数据的传送,并且进行显示的功能
开发平台:VHDL | 大小:979KB | 下载1次