leixiaoming 在 2017-10-27 09:48:54 上传
说明:该代码完成存储器的数据存储和读取功能,该芯片是一款Atmel的SPI接口的EEPROM存储芯片。
开发平台:Quartus II | 大小:6KB | 下载20次
hakino 在 2017-10-25 14:31:59 上传
说明:利用C2000实现SPI通信,并且实现loopback测试
开发平台:Visual C++ | 大小:9KB | 下载2次
ask 在 2017-10-23 18:07:55 上传
说明:spi demo for touch sensing
开发平台:C/C++ | 大小:25KB | 下载1次
翱翔鸟 在 2017-10-22 13:52:56 上传
说明:SPI读取W25q64实验,可以移植到其它的单片机使用
开发平台:Visual C++ | 大小:1329KB | 下载9次
wuzy 在 2017-10-21 15:31:25 上传
说明:FPGA上与AD9787进行spi通信的verilog HDL,VHD....等例程
开发平台:Quartus II | 大小:352KB | 下载13次
你的昵称123 在 2017-10-21 11:01:46 上传
说明:STM32单片机SPI方法读FM25CL64
开发平台:MDK | 大小:2KB | 下载21次
ic_fan 在 2017-10-21 07:23:33 上传
说明:STM32-SPI读取外部存储,详细程序注释
开发平台:C/C++ | 大小:16KB | 下载7次
卡宴-07 在 2017-10-19 19:44:56 上传
说明:20多个源代码,帮助快速了解MC9S128单片机,源代码直接运用。
开发平台:C/C++ | 大小:15306KB | 下载3次
5688959 在 2017-10-19 16:56:53 上传
说明:spi 主发送模块,功能已测试没有问题,各个信号已标注
开发平台:Verilog | 大小:1KB | 下载19次
烦恼的按钮 在 2017-10-16 21:05:58 上传
说明:AD7606采集代码,用于verilog 驱动 AD7606 adc SPI 串口方式
开发平台:Quartus II | 大小:1KB | 下载85次
qing wang 在 2017-10-16 09:26:58 上传
说明:spi通讯协议,采用第三种CPOL=1,CPHA=1,(1)通过边沿检测技术得出SCK上升沿与下降沿标志,用于下面状态机中的数据采样及发送。 (2)根据时序图,采用1个状态机分别在SCK上升沿实现数据采样(该固件未调用下降沿数据发送)。无论是采样还是发送,都是高位在前,从Bit[7]到Bit[0],共8位数据。将采集到的八位数据存放入一个16位寄存器中。
开发平台:Verilog | 大小:3044KB | 下载2次
ambitiouszty 在 2017-10-13 19:20:13 上传
说明:基于FPGA的SPI通信协议,主机的Verilog代码
开发平台:Verilog | 大小:1KB | 下载25次
Parvizi 在 2017-10-12 20:22:15 上传
说明:spi communication code for avr in c++
开发平台:C/C++ | 大小:148KB | 下载1次
zhuxm08 在 2017-10-12 14:18:33 上传
说明:ad7799例程,包括各个寄存器的读写等操作
开发平台:C/C++ | 大小:52KB | 下载7次
1111-and 在 2017-10-11 22:09:03 上传
说明:Grapes are grown on the trees.
开发平台:C/C++ | 大小:2KB | 下载2次
papuaoshi 在 2017-10-11 04:30:28 上传
说明:OLED Display based on SS1306 driver library
开发平台:C/C++ | 大小:82KB | 下载1次
sflotus 在 2017-10-09 11:04:38 上传
说明:基于STM32的ISD1700控制,能够放音,测试通过.供大家参考,
开发平台:MDK | 大小:1214KB | 下载9次
随便注册的 在 2017-10-01 20:20:25 上传
说明:飞思卡尔s12xs128d驱动spi接口。。。
开发平台:C/C++ | 大小:280KB | 下载1次
方子@trj 在 2017-09-26 18:32:33 上传
说明:有两个spi,都配置好的,一个用于液晶,一个用于mpu
开发平台:MDK | 大小:3341KB | 下载1次
August_cwj 在 2017-09-25 19:45:18 上传
说明:利用SPI实现FPGA和外设之间的通信。经过Modelsim仿真验证。(为FPGA设计技巧与案例开发详解一书源码)
开发平台:Verilog | 大小:52KB | 下载14次
sdayd 在 2017-09-24 21:33:18 上传
说明:对W25Q128的读写操作,spi 0 模式
开发平台:Verilog | 大小:1638KB | 下载29次
唛侬 在 2017-09-20 14:32:56 上传
说明:实现3种模式SPI主从模块功能设计,数据位宽8bit,最大SPI时钟频率支持112MHz,采用FSM设计实现。经本人亲测可用,使用于Spartan6——45T系列芯片;
开发平台:Verilog | 大小:2KB | 下载27次
jyc 在 2017-09-17 02:05:01 上传
说明:这个是一个verilog程序,可以用spi读取sd卡中的内容,存到fifo中
开发平台:Verilog | 大小:13251KB | 下载8次
mileszhang 在 2017-09-13 22:04:03 上传
说明:ads1158采集芯片linux驱动,实现16通道采集,采用spi总线通信方式
开发平台:LINUX | 大小:1195KB | 下载9次
jnumountain 在 2017-09-13 18:26:20 上传
说明:ads1158芯片驱动,ai采样芯片,通信总线是spi总线,循环16通道采样
开发平台:LINUX | 大小:1195KB | 下载4次
12HHH23 在 2017-09-13 16:43:33 上传
说明:使用STM32 F103系列MCU,实现SPI存储整数,小数,以及相关配置
开发平台:C/C++ | 大小:624KB | 下载9次
copp 在 2017-09-12 15:34:12 上传
说明:SPI调试 Filename: _hal_spi.c Revised: $Date: 2014-05-08 13:16:43 Revision: $Revision: 20142 $ 初始换串口为SPI模式
开发平台:C/C++ | 大小:48KB | 下载4次
shero_1 在 2017-09-11 09:18:37 上传
说明:ad8638的spi总线时序verilog模板
开发平台:Verilog | 大小:3KB | 下载17次
ganjinming 在 2017-09-08 10:55:13 上传
说明:SPIFFS 全称是SPI Flash File System,用于SPI读写flash的文件系统,其实也可用于NOR flash SPIFFS 文件系统用于嵌入式平台的 SPI NOR flash设备,同样适用于MCU内部的falsh
开发平台:C/C++ | 大小:103KB | 下载8次
mamato 在 2017-09-06 21:48:35 上传
说明:基于80C52的SPI接口,ISO14443 TypeA/B射频卡操作源码
开发平台:C/C++ | 大小:126KB | 下载13次