jxls378816 在 2017-07-25 14:39:14 上传
说明:spi-master模块的verilog
开发平台:Verilog | 大小:1KB | 下载31次
zmje 在 2017-07-21 20:31:07 上传
说明:这个是用软件模拟spi驱动ADS1256的C语言代码程序可以自行移植的
开发平台:C/C++ | 大小:2430KB | 下载38次
sunny12345 在 2017-07-11 11:25:16 上传
说明:读写spi实现写入数据 ,和读出数据,读写spi实现写入数据 ,和读出数据
开发平台:C/C++ | 大小:40KB | 下载3次
NOB0DY 在 2017-07-06 19:58:22 上传
说明:fpga 作为丛机 8位 spi信息传输 。。。。。。
开发平台:C/C++ | 大小:585KB | 下载1次
becau 在 2017-07-06 11:32:44 上传
说明:esp8266平台下的一种SPI透传方法的说明,相比于传统的串口透传,速度更快
开发平台:LINUX | 大小:996KB | 下载106次
岸边树 在 2017-07-05 21:39:57 上传
说明:用于fpga的spi通信,stm32与FPGA之间需要进行spi通信,文件包含接收,发送,以及top层
开发平台:VHDL | 大小:1KB | 下载21次
westbrook 在 2017-07-04 10:05:56 上传
说明:实例:SPI洗衣方式与传感器SHT11实现通信传输
开发平台:C/C++ | 大小:27KB | 下载1次
啊类类 在 2017-06-30 09:38:28 上传
说明:一款spi接口的nandflash手册,手册内有详细的使用说明
开发平台:C/C++ | 大小:604KB | 下载11次
wspudhy 在 2017-06-29 14:24:09 上传
说明:验证过的程序 ,平时自己测试用的,供大家参考使用。
开发平台:VHDL | 大小:5KB | 下载1次
dsfds 在 2017-06-27 08:41:26 上传
说明:SPI控制OLED程序简单好用,引脚设置根据不同的配置
开发平台:C/C++ | 大小:7597KB | 下载2次
xyz123 在 2017-06-23 12:38:22 上传
说明:FPGA与DAC芯片的SPI接口驱动,实现串行数据的传输。
开发平台:VHDL | 大小:6679KB | 下载30次
frozeus 在 2017-06-20 20:54:49 上传
说明:MX28evk spi slave from Freescale
开发平台:C/C++ | 大小:2KB | 下载1次
热教皇 在 2017-06-20 16:11:30 上传
说明:实现SPI总线形式对W25X16 flash进行读写操作
开发平台:C/C++ | 大小:260KB | 下载3次
小达1994 在 2017-06-19 10:44:57 上传
说明:青云 nrf51822 spiflash读写代码
开发平台:C/C++ | 大小:1276KB | 下载33次
leopard021224 在 2017-06-19 09:33:49 上传
说明:基于FPGA平台设计的AD9777芯片的代码
开发平台:VHDL | 大小:286KB | 下载11次
guanzhiyuan 在 2017-06-13 16:35:16 上传
说明:基于STM32F103平台的ADS1256的驱动程序,使用硬件SPI,已在STM32F103RCT6平台上得到验证可用。
开发平台:C51 | 大小:4966KB | 下载50次
大卫方 在 2017-06-13 15:50:04 上传
说明:STM32F10x周边硬件使用,含ILI9341的显示驱动
开发平台:C/C++ | 大小:7527KB | 下载10次
suni 在 2017-06-08 16:38:59 上传
说明:Use code for Maser SPI
开发平台:VHDL | 大小:12KB | 下载3次
我在这 在 2017-05-27 20:17:40 上传
说明: DA9125 配置spi程序 正弦波产生
开发平台:VHDL | 大小:2KB | 下载4次
yulei_milu 在 2017-05-16 11:43:02 上传
说明:SPI 控制接口,可支持传输位数的动态配置。
开发平台:VHDL | 大小:1KB | 下载9次
4965212 在 2017-05-02 11:34:57 上传
说明:STC408AS单片机 SPI转串口
开发平台:C51 | 大小:83KB | 下载2次
流水石畔 在 2017-04-25 13:18:51 上传
说明:C8051F040单片机 SPI作为主机使用例程
开发平台:C51 | 大小:6KB | 下载2次
scnn86 在 2017-04-22 18:35:52 上传
说明:Simple SPI interface realization on Verilog HDL with parameterized FIFO and APB interface
开发平台:Others | 大小:11KB | 下载75次
nothing111111 在 2017-04-15 23:06:30 上传
说明:共两个文件,一个是对网络芯片W5500进行控制的master spi接口,另一个是w5500命令控制逻辑,命令格式按照w5500芯片的要求,分为地址段,控制段和数据段进行统一控制。此外提供w5500芯片初始化及读写控制流程图。
开发平台:VHDL | 大小:55KB | 下载181次
少年游 在 2017-04-09 16:58:27 上传
说明:spi总线协议,V04.01版本,用于spi接口设计
开发平台:Visual C++ | 大小:172KB | 下载10次
wpker 在 2017-04-07 11:45:48 上传
说明:simple spi master,simple spi master
开发平台:VHDL | 大小:42KB | 下载4次
淡定@@ 在 2017-03-22 10:56:23 上传
说明:stm32 spi和 MLX90316stm32 spi和 MLX90316stm32 spi和 MLX90316stm32 spi和 MLX90316
开发平台:C/C++ | 大小:1142KB | 下载17次
li123770 在 2017-03-17 10:54:45 上传
说明:spi代码,来源于黄毅的片上系统与源代码分析
开发平台:VHDL | 大小:8KB | 下载2次
alexandr_l 在 2017-03-16 18:55:18 上传
说明:Simple VHDL SPI-module core source code (only spi-master)
开发平台:VHDL | 大小:1KB | 下载5次