octpss 在 2018-04-02 11:48:29 上传
说明:stm32 SPI demo, stm32f103c8t6 example
开发平台:C/C++ | 大小:1610KB | 下载4次
baymax999 在 2018-03-30 13:03:54 上传
说明:ADS8688 带 5V 单电源并支持双极输入的 16 位、8 通道、500Ksps ADC 具有集成模拟前端的 16 位 ADC 支持自动和手动两种扫描模式的 4 通道和 8 通道多路复用器 (MUX) 独立于通道的可编程输入范围: 双极:±10.24V、±5.12V 和 ±2.56V 单极:0V 到 10.24V 和 0V 到 5.12V 5V 模拟电源:1.65V 到 5V I/O 电源 恒定的阻性输入阻抗:1MΩ 输入过压保护:高达 ±20V 低漂移的片上 4.096V 基准电压 出色的性能:
开发平台:C# | 大小:3KB | 下载23次
repuppy 在 2018-03-29 16:59:39 上传
说明:可用的SPI读写函数,可以正常读写寄存器
开发平台:C/C++ | 大小:1KB | 下载7次
赵鹏九 在 2018-03-28 17:16:44 上传
说明:keil下的OLED单片机SPI通讯的参考例程。
开发平台:C51 | 大小:68KB | 下载1次
在路上745 在 2018-03-27 18:39:03 上传
说明:spi四线驱动1.8寸TFT屏 。。。。。。。。。。。。。
开发平台:C/C++ | 大小:3805KB | 下载1次
在下皇马 在 2018-03-26 17:25:59 上传
说明:板载mpu通信,通过isp通信基于ISP通信的星载微处理器通信
开发平台:C/C++ | 大小:10876KB | 下载1次
Minky Wang 在 2018-03-20 15:55:31 上传
说明:Used to calculate the standardized precipitation index, widely used in meteorological and other industries
开发平台:WINDOWS | 大小:306KB | 下载2次
hehengfu 在 2018-03-20 11:24:26 上传
说明:stm32与fpga之间的通信,协议是SPI的,可双向通信(双向通信需要自己例化,只例化了fpga到stm32)
开发平台:WINDOWS | 大小:3751KB | 下载17次
menger521 在 2018-03-19 10:56:34 上传
说明:DAC数模转换,SPI串口通讯,单片机stm32,快速简单
开发平台:MDK | 大小:26KB | 下载29次
kareem_utd 在 2018-03-18 20:19:08 上传
说明:this is a driver for running spi on atmega 32
开发平台:C/C++ | 大小:29KB | 下载1次
你到底是谁 在 2018-03-16 16:03:04 上传
说明:用Verilog写的SPI代码,可读可写,刚仿真完,还没上板,尴尬,主要是官方限制不上传就不能下载~~~~~~~~~~~~~~ 下面的英文是百度翻译过来的,鬼畜的我都不知道啥意思
开发平台:Verilog | 大小:1KB | 下载3次
yguoshengweqwer 在 2018-03-15 13:40:29 上传
说明:stm8 spi 开发
开发平台:C/C++ | 大小:348KB | 下载6次
毛毛mao 在 2018-03-12 20:48:33 上传
说明:C51实现多点温度的检测功能,无线收发模块选用NRF24L01,1MASTER+3SLAVE
开发平台:C/C++ | 大小:255KB | 下载2次
亲爱的大元哥 在 2018-03-09 16:41:33 上传
说明:基于STM32 F407系列,与BOURNS生产的绝对编码器进行通信,采用硬件SPI方式。 附有BOURNS与STM32文档,可供入门参考。
开发平台:MDK | 大小:19971KB | 下载4次
gaowang073000 在 2018-03-08 09:48:27 上传
说明:stm32f407 SPI+DMA通信,测试稳定
开发平台:C/C++ | 大小:3KB | 下载86次
你四哥 在 2018-03-07 16:44:03 上传
说明:串行外设接口 <SPI>模块是一个同步串行接口,可用于与其他外设或者单片机进行通信。这些外设可以是串行EEPROM、移位寄存器、显示驱动器和A/D转换器等。SPI模块与Motorola的SPI和STOP接口兼容。
开发平台:Quartus II | 大小:240KB | 下载3次
自由飞翔007 在 2018-03-06 16:37:46 上传
说明:此程序是TMS320F28335实现spi通信的程序,程序接口采用的是中断的方式
开发平台:C/C++ | 大小:529KB | 下载1次
忆枫 在 2018-03-06 14:42:47 上传
说明:STM32F1 外部SPI FLASH存储程序,工程完好,可移植。
开发平台:C/C++ | 大小:342KB | 下载4次
lmy11224433 在 2018-03-02 16:00:07 上传
说明:stm8l151C6 RC522 物理SPI编程
开发平台:C/C++ | 大小:1KB | 下载2次
anh92 在 2018-02-28 22:39:49 上传
说明:data transmitted from FPGA to devices using SPI bus
开发平台:Verilog | 大小:7877KB | 下载2次
共享平台 在 2018-02-28 13:42:24 上传
说明:PIC24F SPI
开发平台:C/C++ | 大小:521KB | 下载5次
MCUMaster 在 2018-02-27 12:03:27 上传
说明:MXcube LL library SPI Transmission Interrupt MXCube LL库,SPI 中断发送数据
开发平台:C/C++ | 大小:8043KB | 下载3次
MCUMaster 在 2018-02-27 12:00:50 上传
说明:MXCube LL library, SPI Interrupt Receive MXcube LL库,SPI中断接收
开发平台:C/C++ | 大小:7988KB | 下载1次
Armaghan 在 2018-02-25 07:56:17 上传
说明:Interfacing of TC72 using SPI in PIC18F4550
开发平台:Mplabx | 大小:29KB | 下载4次
wenyiwenni 在 2018-02-24 10:39:54 上传
说明:实现SPI主站通信功能,感兴趣的可以下载。
开发平台:Verilog | 大小:130KB | 下载8次
elecmsm 在 2018-02-15 21:11:13 上传
说明:stm32f030x8 SPI slave with sync
开发平台:C/C++ | 大小:3241KB | 下载3次
一粒尘埃 在 2018-02-08 16:20:51 上传
说明:qspi接口控制,指令包括spi、dual spi、quad spi,通过验证,供参考
开发平台:Verilog | 大小:3KB | 下载67次
一粒尘埃 在 2018-02-08 16:10:56 上传
说明:spi接口的memory控制代码,非常简单实用,供参考
开发平台:Verilog | 大小:288KB | 下载7次
洪荒之力 在 2018-02-07 21:07:56 上传
说明:stm32f407+spi+flash案例程序
开发平台:C/C++ | 大小:79KB | 下载19次
fatfishlin 在 2018-02-07 11:48:08 上传
说明:spi 的详细实现 ,包含测试代码,全面
开发平台:Verilog | 大小:270KB | 下载6次