wjswjs 在 2008-08-01 15:32:54 上传
说明:显示24*24点阵汉字的小程序,VGA模式下,带24点阵汉字库
开发平台:C/C++ | 大小:2KB | 下载46次
ZXQ30119 在 2008-07-31 21:53:03 上传
说明:利用VHDL实现CPLD(EPM240T100C5)的VGA屏幕输出
开发平台:Others | 大小:225KB | 下载68次
蓝天dove 在 2008-07-28 22:45:57 上传
说明:xilinx 开发板程序,VGA控制显示
开发平台:VHDL | 大小:164KB | 下载60次
alfred388 在 2008-07-28 10:57:16 上传
说明:VGA的IP核,下载即可用,解压到指定目录下就可以了,参照里面的read me.
开发平台:VHDL | 大小:23KB | 下载179次
wangyufei@ 在 2008-07-26 07:19:35 上传
说明:有关verilog的硬件实现VGA设计的代码。
开发平台:VHDL | 大小:228KB | 下载131次
xdldp 在 2008-07-25 23:47:26 上传
说明:针对嵌入式系统的底层网络接口给出了一种由FPGA实现的以太网控制器的设计方法.该控制器能支持10Mbps和100Mbps的传输速率以及半双工和全双工模式,同时可提供MII接口,可并通过外接以太网物理层(PHY)芯片来实现网络接入
开发平台:VHDL | 大小:309KB | 下载276次
yec05 在 2008-07-24 11:52:02 上传
说明:vga 显示 完全硬件语言 大家可以学习学习
开发平台:VHDL | 大小:2KB | 下载20次
LDG520CCL 在 2008-07-23 14:43:56 上传
说明:KX_DVP3F型FPGA应用板/开发板(全套)包括:  CycloneII系列FPGA EP2C8Q208C8 40万们,含20M-270MHz锁相环2个。  RS232串行接口;VGA视频口  高速SRAM 512KB。可用于语音处理,NiosII运行等。  配置Flash EPCS2, 10万次烧写周期 。  isp单片机T89S8253:MCS51兼容单片机,12KB在系统可编程Flash ROM,10万次烧 写周期;2KB在系统可编程EEPROM,10万次烧写周期;2.7V-5.5V工作电压;0-24MHz 工作时钟;  2数码管显示器、20MHz时钟源(可通过FPGA中的锁相环倍频);  液晶显示屏(20字X4行);  工作电源5V、3.3V、1.2V混合电压源,良好电磁兼容性主板。  配套示例程序、资料、编程软件光盘等。  4X4键盘,4普通按键,8可锁按键,8发光管  BlasterMV编程下载器和并口通信线,可完成FPGA编程下载和isp单片机的编程。KX_DV3F开发板的源程序
开发平台:VHDL | 大小:352KB | 下载95次
liqoooo 在 2008-07-21 16:12:32 上传
说明:基于DE2开发板的VGA显示模块,仅供大家参考
开发平台:VHDL | 大小:263KB | 下载41次
cqllzp 在 2008-07-09 15:04:28 上传
说明:FPGA控制VGA接口显示汉字!VHDL源码!喜欢的朋友可以看看!
开发平台:VHDL | 大小:2KB | 下载50次
liukun571 在 2008-07-03 16:54:35 上传
说明:源文件保存在src目录,QII的工程文件保存在Proj目录;程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色
开发平台:VHDL | 大小:243KB | 下载11次
noski 在 2008-07-01 10:00:44 上传
说明:用VHDL语言编写的弹球游戏,控制挡板接住在屏幕上反弹的小球。 显示输出为标准VGA信号,可直接连接VGA显示器。 可用QuartusII软件下载到FPGA中进行实现。
开发平台:VHDL | 大小:3KB | 下载118次
shiuny 在 2008-06-28 03:26:15 上传
说明:vga显示源码,可供vga测试,且具有800*600及640*480两种解析度。
开发平台:VHDL | 大小:12KB | 下载246次
promisinglin 在 2008-06-26 11:50:24 上传
说明:VGA verilogHDL /VHDL 实现
开发平台:VHDL | 大小:128KB | 下载17次
kcf2003 在 2008-06-24 11:38:41 上传
说明:The LPC2468 OEM quick start board user guide provide information for starting ARM LPC2468 quick development.It contains 128M Nand flash, 4 M Nor flash and 32M RAM, VGA LCD and TOUCH SCREEN. Includes complete sources code for UCLINUX development.
开发平台:Unix_Linux | 大小:3743KB | 下载142次
kljlj08 在 2008-06-24 10:16:53 上传
说明:几个基本的VHDL例子,包括VGA显示,鼠标键盘PS2接口,数码管等。在XILINX板子上均可运行
开发平台:VHDL | 大小:2613KB | 下载136次
kljlj08 在 2008-06-24 10:13:23 上传
说明:一个用verilog写的简单的乒乓球程序,用来在VGA上显示小球和挡板
开发平台:VHDL | 大小:2KB | 下载102次
梦想靠岸 在 2008-06-16 13:52:38 上传
说明:VGA显示的例子(VHDL语言),实现彩条显示,按键reset实现切换功能。
开发平台:VHDL | 大小:334KB | 下载46次
bacon131 在 2008-06-13 22:30:28 上传
说明:基于FPGA的VGA显示驱动源码~适合哪些想要开发VGA接口驱动的朋友
开发平台:VHDL | 大小:1KB | 下载122次
bacon131 在 2008-06-13 10:51:37 上传
说明:VHDL得彩条显示
开发平台:VHDL | 大小:2KB | 下载46次
lotusjun 在 2008-06-11 15:17:47 上传
说明:ov7670 VGA寄存器配置,由ov公司提供
开发平台:Others | 大小:1KB | 下载511次
xyzn 在 2008-06-10 13:58:47 上传
说明:1. 观察计算机显示系统构成,认识彩色显示的相关硬件和软件。 2. 了解计算机图形显示过程并学习VGA显示模式设置知识。
开发平台:VBScript | 大小:721KB | 下载1次
stephen100 在 2008-06-09 10:17:02 上传
说明:关于VGA显示的vhdl源代码,符合vga的相关时序,是您的重要参考。
开发平台:VHDL | 大小:287KB | 下载174次
wangdimin 在 2008-06-01 20:14:24 上传
说明::两人乒乓球赛 Requires: D2SB and DIO4 with VGA monitor and PS2 Keyboard
开发平台:VHDL | 大小:1936KB | 下载34次
hzw518 在 2008-06-01 15:33:50 上传
说明:EDA实验--VGA计数实验:本实验由RESET健清0,PSW1健控制预制数,按下置入1234,PSW2控制正逆计数,按下递减计数,弹起正向计数。利用VGA作为输出设备,显示计数值,编辑源程序,观察实验结果。
开发平台:VHDL | 大小:3KB | 下载9次
dinstein 在 2008-05-31 09:25:09 上传
说明:for xilinx spratan3 xcs100e 包括vga 和 ps2 驱动的一个演示程序 可直接下载
开发平台:VHDL | 大小:1802KB | 下载44次
yzhao 在 2008-05-29 12:29:27 上传
说明:DM642 mpeg2 程序demo,pal制信号,可输出VGA信号
开发平台:MultiPlatform | 大小:542KB | 下载43次
rosehuman 在 2008-05-27 23:23:07 上传
说明:基于FPGA的VGA显示器彩条发生器 是必备的VGA原码控制
开发平台:C/C++ | 大小:1KB | 下载10次
source5 在 2008-05-26 11:07:36 上传
说明:《数字电子技术基础》习题答案(阎石第四版)
开发平台:Others | 大小:1470KB | 下载63次
asmcos 在 2008-05-25 12:02:24 上传
说明:s3c 2440 vivi源代码,支持cs8900网卡驱动, 支持tv to vga(ch7005)芯片。支持nand flash启动。 功能全。
开发平台:C/C++ | 大小:296KB | 下载222次