happyhao2019 在 2019-01-29 21:09:54 上传
说明:Ti DSP Phase-Locked Loop (PLL) Dev
开发平台:WINDOWS | 大小:111KB | 下载5次
云心 在 2019-01-22 18:06:32 上传
说明:EP4CE15F23C8N PLL测试例程
开发平台:Quartus II | 大小:3249KB | 下载2次
tiantianfy 在 2019-01-11 16:45:58 上传
说明:二阶锁相环 matlab实现 QPSK BPSK
开发平台:matlab | 大小:1KB | 下载7次
懒懒666 在 2018-12-15 22:54:42 上传
说明:quartus Ⅱ 13.1中宏模块生成的pll锁相环,一起探讨啊
开发平台:Quartus II | 大小:167KB | 下载2次
cpanh 在 2018-12-13 09:43:05 上传
说明:基于Matlab/Simulink实现基于二阶广义积分的锁相环
开发平台:matlab | 大小:20KB | 下载45次
谢玉华 在 2018-12-03 09:16:36 上传
说明:pll产生10khz方波 Verilog
开发平台:Verilog | 大小:2973KB | 下载0次
奋斗的鸡仔 在 2018-11-30 16:04:10 上传
说明:双广义二阶积分锁相系统,提取正序锁相,效果好
开发平台:matlab | 大小:14KB | 下载24次
348643887 在 2018-10-27 13:58:49 上传
说明:Easyarm2103 PLL Exampl;e
开发平台:C/C++ | 大小:24KB | 下载1次
yuanl886 在 2018-10-16 16:29:07 上传
说明:基于dq坐标系下搭建了系统的锁相环系统PLL,仿真结果非常好!
开发平台:matlab | 大小:14KB | 下载18次
wang111222 在 2018-10-08 17:14:28 上传
说明:PLL锁相,基于二阶广义积分,可用于电压检测
开发平台:matlab | 大小:9KB | 下载66次
wtakemeht 在 2018-09-22 01:45:42 上传
说明:easy pll,很好的PLL(锁相环设计工具)!
开发平台:MultiPlatform | 大小:90KB | 下载1次
连杰1 在 2018-09-21 09:45:51 上传
说明:Verilog的PLL运用,ip核的使用教程,基于xilinx的ISE
开发平台:Verilog | 大小:227KB | 下载4次
kupaohui 在 2018-09-08 11:06:13 上传
说明:Digital phase locked loop clock
开发平台:Verilog | 大小:9KB | 下载2次
Curryings 在 2018-08-29 13:30:06 上传
说明:A FM demodulator implement by CD4046 PLL
开发平台:Protues | 大小:18KB | 下载2次
三百钱 在 2018-08-18 10:00:42 上传
说明:1、资料包含二阶环路设计简要说明,Matlab程序,Matlab程序模拟FPGA工作方式,对各变量进行了量化处理 2、资料包含使用Vivado2015.4.2版本的工程文件,可直接运行查看仿真结果 3、参考资料为杜勇老师的《锁相环技术原理及其FPGA实现》
开发平台:matlab | 大小:31788KB | 下载13次
Hellolanmin 在 2018-08-08 11:20:12 上传
说明:CMOS 锁相环合成器的分析与设计Keliu Shu Edgar Sánchez-Sinencio
开发平台:Cadence skill | 大小:10784KB | 下载3次
TECHKJ 在 2018-07-10 09:40:15 上传
说明:主要是针对一种单级逆变器的单相并网仿真,其中包括MPPT功能。
开发平台:matlab | 大小:32KB | 下载19次
叶111111 在 2018-06-28 00:35:03 上传
说明:这是一个PLL的仿真,matlab语言,感兴趣的可以看看
开发平台:matlab | 大小:2KB | 下载3次
小泽小小泽 在 2018-06-17 11:40:15 上传
说明:a fpga pll test file
开发平台:Verilog | 大小:5937KB | 下载0次
我欲飞翔 在 2018-06-01 20:11:24 上传
说明:基于ALTERA芯片来驱动IP核pll锁相环产生时钟
开发平台:Verilog | 大小:5637KB | 下载0次
alan114 在 2018-05-23 09:27:38 上传
说明:3bDSM for PLL,which can achieve fractional divider ratio
开发平台:Verilog | 大小:10KB | 下载2次
zhwchaser 在 2018-05-22 13:02:31 上传
说明:cppsim应用于PLL的建模手册,与cppsim软件配套使用
开发平台:Others | 大小:918KB | 下载3次
flamank123 在 2018-05-18 04:02:14 上传
说明:matlab code to find the function call.
开发平台:Mathematica | 大小:1KB | 下载0次
vict0r 在 2018-05-11 09:13:17 上传
说明:锁相环例程,锁相环测试相关,输出四个不同频率的波形
开发平台:Verilog | 大小:6029KB | 下载2次
xf212 在 2018-05-03 12:00:47 上传
说明:广义积分器锁相环仿真 中间很多问题 还不会改 以后有空再改
开发平台:matlab | 大小:13KB | 下载2次
rany1 在 2018-04-30 14:51:52 上传
说明:PLL锁相环的仿真,自己搭建的模型,可以有效实现相位的跟踪。
开发平台:matlab | 大小:9KB | 下载6次
小猪仔521 在 2018-04-28 17:49:19 上传
说明:本次的设计主要任务是学会调用锁相环 IP 核,并对其进行仿真, 具体要求如下:(1)熟练掌握调用锁相环 IP 核的详细步骤。将 50M 的时钟分成 20MHz 和 100MHz 两个时钟(2)对锁相环进行仿真,验证 调用的锁相环的正确性。
开发平台:Verilog | 大小:213KB | 下载5次
帅帅不菜 在 2018-04-26 20:13:24 上传
说明:基于51单片机的锁相环频率合成器的设计。使用PLL集成芯片CD4046,可编程分频芯片CD4522(同MC14522),使用LCD1602显示,频率由按键输入。标准输入信号为1khz方波。
开发平台:C51 | 大小:52KB | 下载5次
阿long 在 2018-04-21 23:32:50 上传
说明:实现了lpc2103单片机的pll功能,通过查询方式与中断方式实现
开发平台:C/C++ | 大小:24KB | 下载2次
CFRYH 在 2018-04-15 20:55:16 上传
说明:采用spll控制结构,simulink 仿真结果正确 dq变换
开发平台:matlab | 大小:13KB | 下载17次