fivekilogram 在 2013-09-02 21:42:13 上传
说明:Verlag代码,频率计,计算输入触发信号的频率,频率=工作时钟/计数结果。
开发平台:VHDL | 大小:4481KB | 下载2次
susan_nwpu 在 2013-09-02 21:23:11 上传
说明:这是一个频率计的verilog源码 实现频率计数
开发平台:VHDL | 大小:10KB | 下载4次
nkwf 在 2013-08-12 16:38:11 上传
说明:本设计是基于FPGA Verilog 语言的简易频率计,能达到测量频率、周期以及脉冲宽度的目的,经测试,效果很好,比较精确
开发平台:VHDL | 大小:2625KB | 下载17次
aaga 在 2013-08-10 16:12:31 上传
说明:基于Xilinx FPGA的数字频率计,包括测频测周期测脉宽测占空比等
开发平台:VHDL | 大小:13KB | 下载21次
武文奇 在 2013-07-26 16:09:14 上传
说明:基于msp430f149的频率计,可以测量0-32K的频率,经测试完全可用,用12864液晶作为频率的显示
开发平台:Visual C++ | 大小:33KB | 下载12次
wonderfri 在 2013-07-24 00:09:31 上传
说明:基于MSP430制作的频率计 输入一个低频信号可以测出频率
开发平台:C/C++ | 大小:25KB | 下载4次
haohuhongfei 在 2013-07-21 02:58:02 上传
说明:EDA频率计程序 EDA频率计程序 EDA频率计程序
开发平台:VHDL | 大小:8KB | 下载7次
linbao01 在 2013-07-11 22:35:36 上传
说明:6位数显频率计,已经测试完全可以用的哦!
开发平台:C/C++ | 大小:42KB | 下载2次
woody1991623 在 2013-06-30 10:45:49 上传
说明:基于FPGA的VHDL程序,实现双精度频率计功能,包括频率计数、测量占空比等
开发平台:VHDL | 大小:366KB | 下载8次
命中注定0818 在 2013-06-20 09:36:45 上传
说明:基于FPGA的数字频率计,实现频率测量,测量范围从1hz到10m
开发平台:VHDL | 大小:45KB | 下载16次
Miss linlin 在 2013-06-05 00:03:41 上传
说明:单片机数字频率计,六位数码管显示,求大神指教啊。
开发平台:Asm | 大小:47KB | 下载2次
xx55520 在 2013-05-31 01:08:44 上传
说明:等精度数字频率计的实现 等精度数字频率计的实现
开发平台:C++ | 大小:9KB | 下载2次
tulianbin 在 2013-05-21 14:01:07 上传
说明:单片机实现频率计功能单片机实现频率计功能单片机实现频率计功能
开发平台:Visual C++ | 大小:26KB | 下载2次
curent 在 2013-05-19 19:18:57 上传
说明:vhdl编写的数字频率计,可以实现对输入波的频率计数
开发平台:Others | 大小:3376KB | 下载4次
airzwd 在 2013-05-16 02:30:50 上传
说明:PIC16f84频率计,利用串口直接读取频率数,很方便,里面的代码很简洁
开发平台:C/C++ | 大小:8KB | 下载6次
不愤不启 在 2013-04-22 20:52:03 上传
说明:利用verilog编写的频率计,测量信号通过管脚输入,8个七段管显示频率,可以实现1-50M频率的精确测量
开发平台:Others | 大小:25KB | 下载6次
568145614 在 2013-04-17 18:04:31 上传
说明:频率计 多于不通频率情况下 有不同的显示 用于毕业设计比较适合
开发平台:C/C++ | 大小:20KB | 下载3次
AiFlash 在 2013-04-12 15:18:37 上传
说明:MSP430频率计设计 基于MSP430G2553的简易频率计,内涵设计报告,dxp源文件(原理图哦),以及实现程序。
开发平台:C/C++ | 大小:1147KB | 下载33次
夏洛克·福尔摩斯 在 2013-03-03 22:48:48 上传
说明:基于单片机的频率计的设计!包含完整代码以及设计方案论文,原理图等
开发平台:Others | 大小:1838KB | 下载5次
bearxyr 在 2012-11-14 16:23:26 上传
说明:频率分度计,测试信号频率,并将信号频率显示出来,利用VHDL语言编程实现
开发平台:VHDL | 大小:1KB | 下载4次
songzhige 在 2012-11-13 22:15:08 上传
说明:51单片机实现频率计的程序,产生10个频率。不同频率的计数值:0,100,200,300,400,500,600,700,800,900HZ
开发平台:C/C++ | 大小:1KB | 下载3次
3968614 在 2012-10-03 13:27:32 上传
说明:简单的频率计 精度高,具有一定的实用价值
开发平台:Asm | 大小:32KB | 下载4次
crazyknife 在 2012-09-21 21:37:08 上传
说明:基于51单片机的频率计,接上个湿度传感器就是湿度计了
开发平台:Visual C++ | 大小:661KB | 下载4次
积极分子 在 2012-08-29 21:31:58 上传
说明:51单片机 实现的频率计 具有自动转换 频率计算方式功能
开发平台:C/C++ | 大小:21KB | 下载7次
SouthFly 在 2012-08-24 18:11:46 上传
说明:频率计,输入信号的频率最大不能超过9999Hz
开发平台:VHDL | 大小:659KB | 下载4次
maoxin1993 在 2012-08-05 11:45:11 上传
说明:Verilog语言,等精度频率计/测脉冲宽/测占空比
开发平台:Others | 大小:1802KB | 下载50次
gjygjy2008 在 2012-07-28 16:47:37 上传
说明:cpld 的频率计的编程,利用程序编辑一个可变频率频率计
开发平台:VHDL | 大小:5449KB | 下载3次
zhchygjf 在 2012-06-29 00:31:21 上传
说明:数字频率计,具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。
开发平台:VHDL | 大小:237KB | 下载3次
Amy_Chen 在 2012-06-21 20:21:04 上传
说明:汇编编写的数字频率计程序,8位数码管显示
开发平台:Asm | 大小:1KB | 下载3次
yinshuiershi 在 2012-06-18 11:01:39 上传
说明:单片机与fpga设计的等精度频率计单片机与fpga设计的等精度频率计单片机与fpga设计的等精度频率计
开发平台:VHDL | 大小:254KB | 下载8次