凤飞飞非法风 在 2011-06-23 22:30:46 上传
说明:基于vhdl的等精度数字频率计,经验证,很好用
开发平台:VHDL | 大小:359KB | 下载8次
iamnancy 在 2011-06-19 13:21:42 上传
说明:简易频率计制作频率周期脉宽的液晶显示的程序
开发平台:Visual C++ | 大小:8KB | 下载3次
nayun 在 2011-06-14 08:58:41 上传
说明:测信号周期法,提高频率计数精度,狠好的方法
开发平台:C/C++ | 大小:136KB | 下载15次
wzj362141 在 2011-06-11 12:04:32 上传
说明:频率计VHDL源程序,经典的频率计设计,量程8位。
开发平台:VHDL | 大小:249KB | 下载12次
DUODUODAGE 在 2011-06-08 15:43:17 上传
说明: MATLAB GUI 滚动轴承特征频率计算,输入轴承参数,就可得结果
开发平台:matlab | 大小:8KB | 下载218次
song878286 在 2011-06-08 09:47:15 上传
说明:数字频率计,具有量程选择按键,超量程报警,采用三位数码管分时扫描显示,频率范围0~10Khz
开发平台:VHDL | 大小:2012KB | 下载7次
kaitao2012 在 2011-05-25 12:26:44 上传
说明:4位频率计,很好的,大家都可以用用,交流交流
开发平台:VHDL | 大小:247KB | 下载4次
zeng32 在 2011-05-23 21:08:08 上传
说明:VHDL实现的 数字频率计 数字频率合成DDS
开发平台:VHDL | 大小:3KB | 下载4次
zhai_m 在 2011-05-23 17:36:50 上传
说明:数字频率计的设计,1.频率测量范围:1Hz—9999Hz。 2.数字显示位数:4位数字显示。3.被测信号幅度Ui=0.5—5V(正弦波、三角波、方波)。4.测量时间:t≤1.5S
开发平台:VHDL | 大小:50KB | 下载7次
bachbeing 在 2011-05-20 10:18:07 上传
说明:用QuartusII与FPGA设计等精度频率计,内附程序、设计思路、设计报告,内容翔实,功能强大
开发平台:VHDL | 大小:9355KB | 下载30次
20071186117 在 2011-05-19 01:28:59 上传
说明:频率计,可以精确的测量仪器的工作周期,频率,测量精确度高
开发平台:VHDL | 大小:3KB | 下载5次
gaozheawhf 在 2011-05-13 14:08:07 上传
说明:一款频率计,可以再XILIX开发板上实现频率计功能,4为数码管显示
开发平台:VHDL | 大小:5KB | 下载4次
d2767 在 2011-05-12 22:40:34 上传
说明:频率计。6位数码管显示,普通89c51控制芯片制作的频率计(计数器),可以通过学习,掌握频率计、计数器的工作原理,也可以在业余无线电制作精度要求不高的场合使用
开发平台:C/C++ | 大小:45KB | 下载12次
yunduanmanbu00 在 2011-05-09 21:08:00 上传
说明:等精度频率计VHDL语言程序 等精度频率计VHDL语言程序
开发平台:VHDL | 大小:532KB | 下载7次
qingshu 在 2011-04-21 00:07:28 上传
说明:频率计闸门信号
开发平台:VHDL | 大小:7KB | 下载2次
e_zhouhu 在 2011-04-03 11:02:50 上传
说明:基于T/C1捕捉功能的可变量程频率计的设计与实现 AVR ATmega16单片机设计
开发平台:C/C++ | 大小:2KB | 下载30次
zuanshigan 在 2011-04-03 11:02:49 上传
说明:一个普通的测量信号频率,主要计算频率计算频率是计算频率
开发平台:C/C++ | 大小:1KB | 下载13次
yanghongqian 在 2011-03-28 19:24:34 上传
说明:本代码是在AT89C51上运行的数字频率计汇编代码。实现对周期性变化信号的频率的测量。
开发平台:Asm | 大小:4KB | 下载5次
agoodkidakang 在 2011-03-24 20:36:30 上传
说明:25MHz 频率计25MHz 频率计25MHz 频率计25MHz 频率计
开发平台:C++ | 大小:564KB | 下载7次
xhwdlm 在 2011-03-24 10:33:57 上传
说明:八位频率计的课程设计,文件全套 八位频率计的课程设计,文件全套
开发平台:DOS | 大小:384KB | 下载5次
Bervie 在 2011-03-18 17:23:47 上传
说明:简易数字频率计程序, LCD显示简易频率计 MCU: STC89C52 晶振:11.0592Mhz LCD显示频率,周期,脉宽
开发平台:C/C++ | 大小:130KB | 下载36次
appleno9 在 2011-03-02 17:39:15 上传
说明:STC12C2052实现数字频率计及其源代码
开发平台:C/C++ | 大小:25KB | 下载34次
qiu578 在 2011-02-26 15:31:26 上传
说明:高精度单片机频率计的设计 两种实现方法,proteus仿真、硬件调试都通过,原理图,程序,报告都有
开发平台:Visual C++ | 大小:496KB | 下载196次
x君莫笑x 在 2011-02-21 13:14:08 上传
说明:频率计。。。。。。。。。。。。。。。。。。
开发平台:Visual C++ | 大小:1KB | 下载2次
回飞 在 2011-02-21 10:40:04 上传
说明: 以AT89C51单片机为控制器件的频率测量方法,它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。 设计一个频率计系统,频率在6位数码管上进行显示,如下图。从左到右依次为频率的十万位、万位、千位、百位、十位、个位。
开发平台:Visual C++ | 大小:130KB | 下载21次
timberland 在 2011-02-20 14:13:15 上传
说明:可以实现脉宽可以通过按键设定的频率计,并且用4位数码管显示
开发平台:C/C++ | 大小:2KB | 下载6次
huangxiang0313 在 2011-02-14 15:12:33 上传
说明:本文介绍了基于VHDL语言的十进制等精度频率计的设计,采用VHDL 语言,运用自顶向下的设计思想,将系统按功能逐层分割的层次化设计方法,使用Quartus8.0开发环境,实现了频率计的设计。
开发平台:VHDL | 大小:274KB | 下载50次
E07610321 在 2011-01-15 16:24:14 上传
说明:等精度频率计 基于fpga的等精度频率计设计 利用Quartus||进行仿真
开发平台:VHDL | 大小:343KB | 下载19次
nicktang111 在 2010-12-21 22:52:00 上传
说明:一个基于LabVIEW的信号发生器的设计。 1、利用实验室提供的仪器设备、软件等,学生亲自设计虚拟信号发生器。 2、实现虚拟信号发生器的仿真显示。在虚拟信号发生器的图形显示窗上观察模拟输出信号的波形,要求观察正弦波、方波、三角波。 3、实现虚拟信号发生器的模拟信号输出。①频率的测量。在延时分别为1、100、200、300、400的条件下,输出正弦波、方波、三角波信号,用示波器观察输出波形,与仿真显示做比较。用频率计测量信号频率。②滤波。选择不同的截止频率对输出信号进行滤波。③失真度的测量。对滤波前后的模拟输出电压波形进行失真度的测量。
开发平台:LabView | 大小:317KB | 下载173次
小森林11111111 在 2010-12-19 16:31:23 上传
说明:频率计,用51单片机做的频率计,适合单片机入门的学习
开发平台:C/C++ | 大小:93KB | 下载82次