nifengchen 在 2015-08-17 11:21:14 上传
说明:基于FPGA的频率计,能在数码管上显示频率 相位等信息,
开发平台:VHDL | 大小:2632KB | 下载11次
leit 在 2015-07-09 22:51:13 上传
说明:测试频率计的程序,数码管显示,基于89c51系统
开发平台:C/C++ | 大小:15KB | 下载6次
有没有一种黑夜 在 2015-07-07 11:04:41 上传
说明:数字频率计加上LCD1602显示,可以扩展更高频率计,里面有说明,自己看
开发平台:VHDL | 大小:2792KB | 下载15次
SINGELADGY 在 2015-06-08 13:10:21 上传
说明:频率计,用于对一个未知频率的周期信号进行频率测量,在1s 内对信号周期进行计数,得到周期信号的频率。
开发平台:VHDL | 大小:3KB | 下载3次
www.osrc.cn 在 2015-05-13 20:39:52 上传
说明:基于FPGA的频率计模块,数码管显示频率值
开发平台:VHDL | 大小:1KB | 下载10次
鱼儿飞飞 在 2015-04-28 09:35:31 上传
说明:基于FPGA的频率计设计:通过不同的按键设置,可检查0.01-100M不同频段的频率,并通过数码管显示
开发平台:VHDL | 大小:6806KB | 下载3次
mrzhangyiqi 在 2015-04-16 12:10:55 上传
说明:运用multisim进行数字频率计设计,实现对于频率计的设计和运行
开发平台:MultiPlatform | 大小:301KB | 下载16次
jieson 在 2015-03-23 21:13:29 上传
说明:电子课程设计作业,包括硬件和软件仿真,真的很好用,四位频率计,自动换挡。
开发平台:MultiPlatform | 大小:1492KB | 下载1次
jieson 在 2015-03-23 20:16:41 上传
说明:数字频率计的设计仿真及硬件实现,适合初学者,比较容易理解。
开发平台:MultiPlatform | 大小:318KB | 下载2次
yuanmengpudm 在 2015-02-28 17:08:18 上传
说明:中断频率计 可以检测中断响应的频率,同时也可以产生相应频率的脉冲
开发平台:C/C++ | 大小:14KB | 下载2次
qizhong 在 2015-01-08 21:43:39 上传
说明:利用keil及proteus搭建的频率计仿真系统,可进行频率的检测。
开发平台:C/C++ | 大小:57KB | 下载13次
lapping 在 2014-12-28 22:28:38 上传
说明:基于FPGA的高精度频率计设计实验 展示数字存储示波器基本工作原理。 展示硬件测频和测周的基本原理。 在现有综合实践平台上开发DSO硬件频率计模块的方案及流程。 结合数据采集、存储和触发模块的FPGA代码。 FPGA代码完善DSO的频率计模块,实现高精度测频和测周功能。
开发平台:VHDL | 大小:14207KB | 下载33次
zzs62590 在 2014-12-05 22:29:01 上传
说明: 自制10hz到2G频率计diy频率计89c52 10hz-2g
开发平台:C/C++ | 大小:2KB | 下载11次
XIXIXUELANGHAO 在 2014-11-13 19:02:07 上传
说明:基于fpga和51单片机的等精度频率计,通过fpga对信号进行采集,数据传给单片机计算,再由12864进行显示,可进行频率,周期,脉宽,占空比,幅值等的测量。
开发平台:VHDL | 大小:1215KB | 下载34次
hyx728920 在 2014-10-16 20:33:16 上传
说明:使用PIC16F877A的TIMER0模块实现频率计功能
开发平台:C/C++ | 大小:76KB | 下载4次
hnlgallen 在 2014-10-09 23:38:58 上传
说明:基于FPGA的频率计,用verilog语言实现,在标准时钟周期内进行计数,得到信号的频率。
开发平台:VHDL | 大小:222KB | 下载6次
yldtc 在 2014-09-18 13:34:50 上传
说明:1、 等精度数字频率/相位测试仪是电子通信电路测试设计常需要的一种工具。设计的指标包括,测量频率的范围:(0.1Hz-100MHz),测量精度:測频全域不大于百万分之一。具有脉宽测试功能,相位测试功能。系统的组成框图如下所示,TCLK为待测的信号,BCLK为系统的时钟。其主控制结构如图測频原理所示,采用高速的系统时钟BCLK对待测信号时钟TCLK进行计数,然后计算出一个周期的平均值,最后输出高8位数据。
开发平台:VHDL | 大小:2KB | 下载5次
dzhg 在 2014-08-11 16:15:30 上传
说明:等精度频率计,相位差测量.等精度频率计,相位差测量
开发平台:VHDL | 大小:218KB | 下载27次
dujiajiyi0309 在 2014-07-23 09:29:06 上传
说明:基于51单片机可测200mHZ频率等精度频率计,误差不超过0.5HZ
开发平台:Visual C++ | 大小:2KB | 下载7次
zhaifeng 在 2014-06-30 16:31:29 上传
说明:频率计用51单片机计数器d触发器锁存器组成高精度频率计
开发平台:C/C++ | 大小:29KB | 下载2次
1000086 在 2014-05-28 10:50:30 上传
说明:数字频率计 实现对1Hz-10KHz频率信号的检测以及超量程警示
开发平台:VHDL | 大小:4494KB | 下载5次
yun1qwe 在 2014-05-08 22:55:34 上传
说明:基于AT89S51单片机的频率计测量计设计,可测频率范围为5Hz-5KHz
开发平台:C/C++ | 大小:20KB | 下载6次
zhouhaowjq 在 2014-04-25 11:13:23 上传
说明:用430做得一个频率计 可测频率范围1HZ~65kHZ
开发平台:C/C++ | 大小:16KB | 下载4次
委座 在 2014-03-20 16:22:56 上传
说明:单片机应用平台的简易频率计设计.频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
开发平台:C/C++ | 大小:2KB | 下载1次
flytimes 在 2014-02-24 17:53:30 上传
说明:单片机简易数字频率计,对外部脉冲频率进行计数(汇编代码)
开发平台:Asm | 大小:79KB | 下载5次
halloocc 在 2014-02-16 09:37:15 上传
说明:51单片的频率计,扩张频率在1Mhz以上
开发平台:C/C++ | 大小:193KB | 下载2次
角鸮 在 2013-11-21 23:42:45 上传
说明:VHDL数字频率计的相关设计报告,讲解了频率测量和占空比测量的原理和实现方式
开发平台:VHDL | 大小:15204KB | 下载8次
4434820 在 2013-11-11 10:39:12 上传
说明:频率计设计系统的主程序。实现频率计系统的相关功能
开发平台:C/C++ | 大小:1KB | 下载3次
7k7k+tai 在 2013-09-05 15:03:15 上传
说明:用VHDL语言实现频率计功能,加载到FPGA可以立即实现
开发平台:VHDL | 大小:1KB | 下载1次
xmjq0614 在 2013-09-05 15:01:59 上传
说明:基于FPGA的数字频率计的课程设计,附完整代码。
开发平台:VHDL | 大小:225KB | 下载68次