DXJ520LS 在 2013-03-08 17:18:41 上传
说明:超详细注解的按键状态机处理程序范例,大家共同分享学习
开发平台:C/C++ | 大小:4KB | 下载28次
philip_009 在 2013-03-07 10:35:06 上传
说明:主状态与子状态在状态机中的运用,来自labview宝典
开发平台:LabView | 大小:12KB | 下载3次
judya18 在 2013-03-06 21:55:32 上传
说明:AVR单片机,状态机通过判断按键状态实现按键消抖
开发平台:Visual C++ | 大小:21KB | 下载13次
盈飞轻 在 2013-02-25 20:38:05 上传
说明:状态机的原版经典代码,单片机程序结构的革命之作
开发平台:C/C++ | 大小:1005KB | 下载13次
duzhaoguo0425 在 2013-01-24 17:09:00 上传
说明:基于状态机思想的串口命令解析,单片机使用stm32
开发平台:C/C++ | 大小:1KB | 下载28次
duzhaoguo0425 在 2013-01-24 17:01:02 上传
说明:基于状态机思想的串口命令解析,单片机使用stm32
开发平台:C/C++ | 大小:1KB | 下载58次
sunmiaomiao 在 2013-01-09 22:57:21 上传
说明:ad0809状态机样例,使用多状态机方式,有六个状态
开发平台:VHDL | 大小:1KB | 下载6次
wirelessmancs 在 2013-01-08 18:32:52 上传
说明:用状态机实现串口收发,有中文的注释,使用quartus11.0编译调试通过。
开发平台:VHDL | 大小:4323KB | 下载5次
tzytq012 在 2012-12-27 08:11:36 上传
说明:1602状态机写法,非常规范的代码风格,有利于借鉴
开发平台:VHDL | 大小:1673KB | 下载11次
xulintao@163.com 在 2012-12-13 18:40:48 上传
说明:状态机模式实现。C#的,客户端形势的例子。
开发平台:Visual Basic | 大小:97KB | 下载21次
stereo1979 在 2012-12-11 09:47:50 上传
说明:按键状态机程序,可实现按键按下,长按,弹起三种状态,在定时中断里每隔10MS调用
开发平台:C/C++ | 大小:1KB | 下载21次
freesky533 在 2012-11-22 22:56:08 上传
说明:● C语言程序例程。该子目录包括以下子程序: ——AD程序实现模拟量到数字量的转换功能; ——CAN程序实现CAN总线通讯功能; ——keyboard_check程序实现键盘的扫描查询方式输入; ——keyboard_disturb程序实现PORTB的"电平变化中断"进行键盘的输入; ——led0-8程序实现在8个LED上依次显示1~8数字; ——PWM程序用于使CCP1模块产生分辨率为10位的PWM波形,占空比为50 ; ——RS-232程序通过RS-232接口来完成PC计算机与单片机之间的通信; ——simple_POARD程序为外围功能模块简单应用实例,点亮与PORTD口相连的八个发光二极管; ——stopwatch程序实现计时秒表功能,时钟显示范围00.00~99.99秒,分辨度为0.01秒; ——switchinput程序用于开关量的输入(采用SPI总线),并显示在与D口相连的LED上; ——wakeup程序实现PIC18F458的休眠工作方式,并由实验板上的按键产生"电平变化中断"将其从休眠状态中激活; ——WDT程序实现"看门狗"WDT的功能; ——Yejing程序实现液晶显示器的接口和显示功能。
开发平台:C/C++ | 大小:2239KB | 下载71次
nuaasdut 在 2012-11-21 19:09:40 上传
说明:应用于实时以太网通信,通过高速FIFO实现异步时钟域通信,通过状态机实现FIFO操作,实现与物理层芯片通信。
开发平台:VHDL | 大小:876KB | 下载8次
yy15452254 在 2012-11-04 20:33:35 上传
说明:自动售饮料机,只可投入5毛和1块钱,每瓶饮料为2.5元,要求应用状态机设计该系统,并编写Testbench。 输入信号定义: clk:时钟输入 ngreset:复位信号 half_yuan:五毛钱 one_yuan:一元钱 输出信号定义: dispense:表示机器售出一瓶饮料 collect:用于提示投币者取走饮料 half_out:表示找回五毛钱
开发平台:VHDL | 大小:1KB | 下载17次
hfstudys123 在 2012-09-18 23:20:58 上传
说明:状态机使用方法及讲解,加强对状态机的熟悉程度,灵活运用这一有用的机制
开发平台:Visual C++ | 大小:335KB | 下载15次
jennifer89121 在 2012-09-14 17:07:29 上传
说明:介绍了一个简单三机系统的状态估计模型,包含基础数据,并且利用matlab仿真实现,文档中包含源程序
开发平台:matlab | 大小:189KB | 下载69次
zmj64351508 在 2012-08-12 15:11:11 上传
说明:CortexM3是SVC的使用,如何切换PSP,以及一个有限状态机的C实现例子,很短小,但是很有用
开发平台:C/C++ | 大小:54KB | 下载12次
jlzhaozhifang 在 2012-07-27 21:19:39 上传
说明:Verilog三段式状态机.pdf Verilog时序电路及状态机设计.ppt Verilog有限状态机设计.ppt 状态机.ppt 用状态机原理进行软件设计.pdf 有限状态机.pdf 有限状态机.ppt 状态机原理及用法.pdf 对状态机初学者有帮助。
开发平台:Visual C++ | 大小:6584KB | 下载18次
celialml 在 2012-07-27 10:07:41 上传
说明:状态机程序,具有简易功能的自动贩卖机verilog hdl
开发平台:VHDL | 大小:2715KB | 下载6次
lspj324 在 2012-06-05 15:31:53 上传
说明:电力系统3机系统的暂态稳定仿真程序,子程序为故障前故障中和故障后三个状态
开发平台:matlab | 大小:3KB | 下载71次
pyang112233 在 2012-05-22 21:17:12 上传
说明:一个状态机的详细讲解并附有主要程序实现。可以应用到项目中。
开发平台:PDF | 大小:320KB | 下载4次
qiuyehejiu 在 2012-05-22 15:16:13 上传
说明:1935有限状态机 四个状态 一般有限状态机的设计
开发平台:VHDL | 大小:2KB | 下载3次
celestialboy 在 2012-05-12 15:40:49 上传
说明:自动售货机控制系统VHDL有限状态机实现 利用VHDL可以避免繁琐的过程,直接利用状态转换图进行状态机的描述
开发平台:VHDL | 大小:234KB | 下载4次
painterjia 在 2012-05-09 17:54:18 上传
说明:介绍了4种C的有限状态机写法,但愿能帮到你
开发平台:Unix_Linux | 大小:57KB | 下载11次
息烽 在 2012-04-30 14:59:15 上传
说明:VERILOG HDL 学习有限状态机的重要PPT
开发平台:PPT | 大小:3664KB | 下载9次
zhuwenyan 在 2012-04-19 12:11:22 上传
说明:状态机之间的转换,共有8个状态,可以设置时间小时,分钟,显示时间;还可以进行闹钟设置
开发平台:C/C++ | 大小:27KB | 下载6次
jida_0812 在 2012-03-31 20:44:44 上传
说明:用状态机实现序列检测器的设计,熟悉用状态机设计各种序列检测器的思路和方法
开发平台:VHDL | 大小:406KB | 下载4次
platon 在 2012-03-26 09:32:02 上传
说明:Verilog 异步串行收发器,收发器的设计,时序状态机的代码编写
开发平台:VHDL | 大小:8KB | 下载7次
sungjoni 在 2012-03-06 13:51:19 上传
说明:嵌入式系统的为模块化程序设计,使用状态图c/c++实现,通过使用二维表来实现状态机
开发平台:C/C++ | 大小:3482KB | 下载9次
sungjoni 在 2012-03-06 13:47:00 上传
说明:状态模式编写状态机,该状态机用基于面向对象的语言的编写,
开发平台:C++ | 大小:2KB | 下载11次