chenqi1985 在 2009-01-10 12:48:10 上传
说明:数字抢答器 新型的功能强大的抢答器 使用方便 原理容易理解
开发平台:C/C++ | 大小:55KB | 下载21次
55585855456655 在 2009-01-10 02:07:41 上传
说明:八路智能抢答器汇编语言 有设计思路和功能介绍(改进)
开发平台:Asm | 大小:4KB | 下载4次
55585855456655 在 2009-01-10 02:03:13 上传
说明:八路智能抢答器汇编语言 有设计思路和功能介绍
开发平台:Asm | 大小:3KB | 下载11次
SANMIL 在 2009-01-01 11:19:11 上传
说明:第1节 引 言…… …… …… ……… 1 1.1 数字抢答器概述……………………………………………………………1 1.2 设计任务与要求……………………………………………………………1 1.3 系统主要功能………………………………………………………………2 第2节 抢答器硬件设计……………………………………………………………4 2.1 抢答器总体方框图……………………………………………………… 4 2.2 单元电路设计…………………………………………………………… 4 2.3 抢答器原理……………………………………………………………… 8 第3节 系统软件设计…………………………………………………………… 9 3.1 系统主程序设计………………………………………………………… 9 3.2 中断服务程序设计………………………………………………………10 第4节 结束语……………………………………………………………………11 参考文献 ………………………………………………………………………12 附录 ……………………………………………………………………………13
开发平台:MultiPlatform | 大小:641KB | 下载35次
johnzk1008 在 2008-12-30 23:50:33 上传
说明:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。
开发平台:WORD | 大小:55KB | 下载12次
flounding 在 2008-12-30 20:44:44 上传
说明:用verilog编写的抢答器程序。由硬件思维编写,由一个多路开关和一个触发器构成,比起c,简单并且稳定。
开发平台:VHDL | 大小:3KB | 下载11次
19990101 在 2008-12-29 09:28:08 上传
说明:我的四人抢答器设计报告,带各个模块波形,总结和参考书
开发平台:VHDL | 大小:91KB | 下载29次
linwade 在 2008-12-24 20:42:37 上传
说明:基于AT89C51的单片机的8路抢答器设计
开发平台:PDF | 大小:283KB | 下载10次
597104576 在 2008-12-21 03:19:21 上传
说明:四人抢答器设计,具有超前抢答显示报警,20秒倒计时超时抢答报警及加分、减分等功能
开发平台:VHDL | 大小:2KB | 下载24次
hugh709 在 2008-12-19 22:43:20 上传
说明:l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路
开发平台:VHDL | 大小:298KB | 下载125次
wuqiuxia_768 在 2008-12-17 17:35:23 上传
说明:单片机课程设计 六路抢答器 实现功能全面 时间设计 可更改抢答时间
开发平台:WORD | 大小:122KB | 下载41次
1342298 在 2008-12-17 14:20:48 上传
说明:四路抢答器,超时报警,提前抢答报警,计分等
开发平台:VHDL | 大小:3KB | 下载29次
lc5546 在 2008-12-11 18:55:23 上传
说明:抢答器设计与需求分析,抢答器设计与需求分析
开发平台:VHDL | 大小:574KB | 下载33次
lswxc925 在 2008-12-09 09:12:54 上传
说明:微机原理/实现四路抢答器功能的汇编语言表述(课程设计)
开发平台:DOS | 大小:2KB | 下载11次
huang880501 在 2008-11-30 11:55:47 上传
说明:电路图 里面有许多实用电路图 51编程器电路图 51单片机LED点阵电子显示屏的设计电路图 89C51单片机号音自动播放器的设计 单片机看门狗电路图 单片机控制的带语音报数功能的抢答器
开发平台:Others | 大小:2473KB | 下载752次
chch3 在 2008-11-28 18:32:11 上传
说明:4路抢答器,具有锁存与声音和LED显示功能。
开发平台:WORD | 大小:100KB | 下载15次
lavender9423 在 2008-11-27 07:28:05 上传
说明:基于8051单片机的设计设计,四路抢答器的设计,word形式,汇编语言。抢答器
开发平台:Asm | 大小:68KB | 下载28次
fgj 在 2008-11-11 17:33:42 上传
说明:基于89c51系列单片机的八路抢答器程序及电路说明。
开发平台:C/C++ | 大小:28KB | 下载81次
xiaoTyi 在 2008-11-10 18:44:13 上传
说明:基于EWB平台实现多路智力抢答器系统的建模与仿真
开发平台:PDF | 大小:505KB | 下载10次
zhuzi001 在 2008-11-04 16:03:34 上传
说明:抢答器,服务端和客端都是通过PC来实现问答和抢答的工能,下载可直接运行
开发平台:Java | 大小:254KB | 下载22次
jingyuan01 在 2008-11-03 17:28:41 上传
说明:基于AT89S51单片机的4路抢答器。//蜂鸣器控制端口P21.用c语言编写的
开发平台:C/C++ | 大小:11KB | 下载159次
yaminsh11 在 2008-10-30 15:58:45 上传
说明:可以供四组人员进行操作的智力抢答器,当一次抢答完成后可以复位继续进行抢答,当抢答成功时会显示号码并响铃
开发平台:VHDL | 大小:2KB | 下载13次
crazytroy 在 2008-10-29 22:29:19 上传
说明:二人抢答器 实现倒计时 随机产生倒计时时间, 抢大正确显示所用时间错误显示选手名称
开发平台:DOS | 大小:3KB | 下载1次
pjyx 在 2008-10-27 23:29:35 上传
说明:基于LED点阵显示屏9路抢答器设计,内容丰富,功能不错。
开发平台:MultiPlatform | 大小:2KB | 下载39次
liu06313204 在 2008-10-27 13:35:20 上传
说明:自动抢答器 规格 要求 根据实际情况写出实际的符合情况的
开发平台:PDF | 大小:190KB | 下载3次
liu06313204 在 2008-10-27 13:15:57 上传
说明:数字式八人竞赛抢答器 在比赛的情况下可以清楚的显示出抢答的人或队伍
开发平台:WORD | 大小:263KB | 下载23次
sgs570 在 2008-10-20 09:39:08 上传
说明:是采用at89c51单片机的八路抢答器外加电路原理图
开发平台:Asm | 大小:62KB | 下载49次
404143223 在 2008-10-17 15:08:41 上传
说明:基于单片机的8路抢答器 原理图 源码全部都有
开发平台:Borland C++ | 大小:19KB | 下载125次
houxuguang 在 2008-09-03 20:31:43 上传
说明:八路抢答器 八路抢答器 八路抢答器
开发平台:C/C++ | 大小:39KB | 下载28次
JIEDIN 在 2008-08-27 12:18:42 上传
说明:51单片机 16路数字抢答器,需要用的可以看看。
开发平台:C/C++ | 大小:1KB | 下载33次