ywhfdl 在 2008-08-19 19:22:49 上传
说明:基于51的抢答器,谢谢大家!希望大家支持,对大家有用
开发平台:C/C++ | 大小:35KB | 下载22次
tiantaoever 在 2008-08-16 12:49:28 上传
说明:采用单片机at89c52实现抢答器,在keil环境下编译。检验有效
开发平台:Others | 大小:9KB | 下载5次
f20301525 在 2008-07-28 22:24:05 上传
说明:多功能八路抢答器,具有时间设置,调整,复位,暂停等功能(包含Proteus仿真电路图)
开发平台:Asm | 大小:74KB | 下载199次
scutczt 在 2008-07-27 13:23:01 上传
说明:基于VHDL的抢答器程序,包含完整的源代码,锁脚文件以及下载文件,可直接下载使用
开发平台:VHDL | 大小:8KB | 下载37次
wuliang08 在 2008-07-11 22:34:34 上传
说明:是个简单的八路抢答器,是我学习单片机时的小制作。挺简单的 但对学习单片机学习还是有点小帮助的噢
开发平台:C/C++ | 大小:25KB | 下载28次
wch16621 在 2008-07-09 15:46:42 上传
说明:8路抢答器的论文,大家一起共享!希望大家一起前进
开发平台:Others | 大小:780KB | 下载19次
whatmore168 在 2008-07-06 13:29:08 上传
说明:本八路抢答器设计使用方法非常简单,从上述工作原理可知,抢答前只需先将开关K置于然后再置于即可进行抢答
开发平台:Others | 大小:2557KB | 下载19次
selfsun-913 在 2008-06-30 18:28:15 上传
说明:竞赛抢答器
开发平台:Others | 大小:1KB | 下载8次
windyandthunder 在 2008-06-28 22:59:27 上传
说明:利用8255实现8路抢答器,简单明了,初学者非常适用,经实验证明绝对可行
开发平台:Visual C++ | 大小:1KB | 下载10次
hyc198772 在 2008-06-28 16:21:21 上传
说明:1 前言 2 2 需求分析 2 2.1原理 2 2.2要求 2 2.3任务 2 3 概要设计 3 3.1实现功能 3 3.2抢答器的组成框图 3 4 详细设计 4 4.1抢答电路设计 4 4.2定时电路设计 5 4.3报警电路设计 6 4.4抢答器控制电路设计 6 5 课程设计的总结与体会 8 6 致谢 8 7 参考资料 8
开发平台:Others | 大小:2722KB | 下载29次
bjtu123 在 2008-06-20 14:54:41 上传
说明:记分式多智力数字抢答器,可以实现抢答,倒计时报警,记分功能
开发平台:Others | 大小:56KB | 下载25次
MOVEAV 在 2008-06-18 21:40:30 上传
说明: 八路抢答器设计 源码 功能模块设计 带电路图
开发平台:VHDL | 大小:556KB | 下载160次
tmjdone 在 2008-06-16 21:51:30 上传
说明:8人抢答器,带有复位,开始按钮,使用优先级。
开发平台:VHDL | 大小:100KB | 下载16次
yangshu 在 2008-06-15 19:18:13 上传
说明:数字电路电子抢答器论文的格式,图片,以及例如
开发平台:C++ Builder | 大小:24KB | 下载6次
zerofox 在 2008-06-11 10:22:10 上传
说明:抢答器单片机设计 抢答器单片机设计
开发平台:Windows_Unix | 大小:2KB | 下载10次
liuxy67 在 2008-05-24 20:48:58 上传
说明:受人之托制作的单片机抢答器。很稳定。但成本高些。
开发平台:Others | 大小:75KB | 下载22次
28075506 在 2008-05-13 07:56:45 上传
说明:1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。
开发平台:VHDL | 大小:251KB | 下载100次
管理员 在 2008-05-06 14:01:27 上传
说明:四路抢答器 单片机开发 可以四人一起抢答 功能强大
开发平台:C/C++ | 大小:267KB | 下载37次
e04610102 在 2008-05-06 10:45:43 上传
说明:四人抢答器,使用FPGA开发工具,可以在电脑上直接运行,但是要结合硬件使用
开发平台:Others | 大小:141KB | 下载28次
疯狂石头 在 2008-05-06 00:07:55 上传
说明:本人弄的一个C程序,做的电子抢答器有电路图
开发平台:C/C++ | 大小:33KB | 下载24次
349819467 在 2008-05-05 18:59:11 上传
说明:双人抢答器的程序,可以加分减分等,还可以用指示灯表示开始抢答和抢答结束
开发平台:Windows_Unix | 大小:2KB | 下载5次
whs116 在 2008-05-04 23:19:47 上传
说明:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。
开发平台:Visual C++ | 大小:55KB | 下载20次
akshino618 在 2008-04-28 21:30:04 上传
说明:PLD设计的定时抢答器报告,有电路原理图,做硬件的朋友可以看看,EPROM7128S的
开发平台:VHDL | 大小:476KB | 下载6次
akshino618 在 2008-04-28 21:27:02 上传
说明:基于VHDL8路抢答器系统设计报告,7128S芯片的,有需要的朋友可以
开发平台:VHDL | 大小:222KB | 下载35次
gcj19870623 在 2008-04-26 22:33:23 上传
说明:红外遥控多路抢答器的设计,方案简便,实用,易于制作
开发平台:C/C++ | 大小:102KB | 下载7次
tcltu 在 2008-04-22 11:08:08 上传
说明:实现抢答器功能,30秒的倒数,抢答控制均可以,
开发平台:VHDL | 大小:238KB | 下载29次
jr04501133 在 2008-04-22 08:44:20 上传
说明:一个基于单片机的六路抢答器实验供大家参考
开发平台:Others | 大小:6KB | 下载11次
aaaqq 在 2008-04-19 22:39:26 上传
说明:用汇编语言编写的抢答器的毕业设计 希望对大家有用
开发平台:Others | 大小:746KB | 下载34次
hlw0510940108 在 2008-04-16 11:10:59 上传
说明:VHDL的四人抢答器,希望对大家有所帮助啊,
开发平台:VHDL | 大小:156KB | 下载348次